News Posts matching #IDM 2.0

Return to Keyword Browsing

Intel Outlines New Financial Reporting Structure

Intel Corporation today outlined a new financial reporting structure that is aligned with the company's previously announced foundry operating model for 2024 and beyond. This new structure is designed to drive increased cost discipline and higher returns by providing greater transparency, accountability and incentives across the business. To support the new structure, Intel provided recast operating segment financial results for the years 2023, 2022 and 2021. The company also shared a targeted path toward long-term growth and profitability of Intel Foundry, as well as clear goals for driving financial performance improvement and shareholder value creation.

"Intel's differentiated position as both a world-class semiconductor manufacturer and a fabless technology leader creates significant opportunities to drive long-term sustainable growth across these two complementary businesses," said Pat Gelsinger, Intel CEO. "Implementing this new model marks a key achievement in our IDM 2.0 transformation as we hone our execution engine, stand up the industry's first and only systems foundry with geographically diverse leading-edge manufacturing capacity, and advance our mission to bring AI Everywhere."

Intel Appoints Stacy Smith to Board of Directors

Intel Corporation today announced that Stacy Smith, executive chairman of Kioxia Corporation, formerly Toshiba Memory Corporation, and chair of Autodesk Inc., was appointed to Intel's board of directors, effective immediately. Smith will serve as an independent director and join the board's Audit & Finance Committee.
"Stacy's deep understanding of the semiconductor industry, along with Intel's history and strategy, will be a significant asset to the board as it guides the company's transformation journey," said Frank D. Yeary, chairman of the Intel board. "In particular, Stacy's expertise in finance and leading capital allocation strategies in the capital-intensive semiconductor industry will be additive to Intel's board as the company continues its efforts to create a globally resilient semiconductor supply chain."

Smith, 61, spent nearly 30 years at Intel, serving in a variety of leadership roles. Prior to his retirement in 2018, he served as the group president of manufacturing, operations and sales, leading the company's global Technology and Manufacturing Group and its worldwide sales organization. Prior to this, he spent more than a decade in finance leadership roles, including as chief financial officer. In addition, Smith held the role of chief information officer and, before that, was general manager of Intel Europe, Middle East and Africa (EMEA), where he was responsible for Intel sales and marketing for the EMEA region.

Intel 14A Node Delivers 15% Improvement over 18A, A14-E Adds Another 5%

Intel is revamping its foundry play, and the company is set on its goals of becoming a strong contender to rivals such as TSMC and Samsung. Under Pat Gelsinger's lead, Intel recently split (virtually, under the same company) its units into Intel Product and Intel Foundry. During the SPIE 2024 conference for optics and photonics, Anne Kelleher, Intel's senior vice president, revealed that the 14A (1.4 nm) process offers a 15% performance-per-watt improvement over the company's 18A (1.8 nanometers) process. Additionally, the enhanced 14A-E process boasts a further 5% performance boost from the regular A14 node, being a small refresh. Intel's 14A process is set to be the first to utilize High-NA extreme ultraviolet (EUV) equipment, delivering a 20% increase in transistor logic density compared to the 18A node.

The company's aggressive pursuit of next-generation processes poses a significant threat to Samsung Electronics, which currently holds the second position in the foundry market. As part of its IDM 2.0 strategy, Intel hopes to reclaim its position as a leading foundry player and surpass Samsung by 2030. The company's collaboration with American companies, such as Microsoft, further solidifies its ambitions. Intel has already secured a $15 billion chip production contract with Microsoft for its 1.8 nm 18A process. The semiconductor industry is closely monitoring Intel's progress, as the company's advancements in process technology could potentially reshape the competitive landscape. With Samsung planning to mass-produce 2 nm process products next year, the race for dominance in the foundry market is heating up.

Intel Introduces Advisory Committee at Intel Foundry Direct Connect

During his keynote address today at Intel Foundry Direct Connect, Intel's inaugural foundry event, CEO Pat Gelsinger introduced four members of the company's Foundry Advisory Committee. The committee advises Intel on its IDM 2.0 strategy, including creation and development of a thriving systems foundry for the AI era.
The advisory committee includes leaders from the semiconductor industry and academia, two of whom are also members of Intel's board of directors:
  • Chi-Foon Chan, former Co-CEO of Synopsys; former Microprocessor Group general manager at NEC; director at PDF Solutions.
  • Joe Kaeser, former CEO of Siemens; supervisory board chair at Siemens Energy and Daimler Truck; supervisory board member at Linde; former member of the board of NXP semiconductor; member of the board of trustees at the World Economic Forum.
  • Tsu-Jae King Liu, vice chair of the Foundry Advisory Committee; dean of College of Engineering at the University of California, Berkeley; Intel director; and director at MaxLinear.
  • Lip-Bu Tan, chair of the Foundry Advisory Committee; former CEO of Cadence Design Systems; chairman of Walden International; and Intel director; director at Credo Technology Group and Schneider Electric.

Intel Foundry Services (IFS) and Cadence Design Systems Expand Partnership on SoC Design

Intel Foundry Services (IFS) and Cadence Design Systems Inc. today announced a multiyear strategic agreement to jointly develop a portfolio of key customized intellectual property (IP), optimized design flows and techniques for Intel 18A process technology featuring RibbonFET gate-all-around transistors and PowerVia backside power delivery. Joint customers of the companies will be able to accelerate system-on-chip (SoC) project schedules on process nodes from Intel 18A and beyond while optimizing for performance, power, area, bandwidth and latency for demanding artificial intelligence, high performance computing and premium mobile applications.

"We're very excited to expand our partnership with Cadence to grow the IP ecosystem for IFS and provide choice for customers," said Stuart Paann, Intel senior vice president and general manager of IFS. "We will leverage Cadence's world-class portfolio of leading IP and advanced design solutions to enable our customers to deliver high-volume, high-performance and power-efficient SoCs on Intel's leading-edge process technologies."

Intel Reports Third-Quarter 2023 Financial Results

Intel Corporation today reported third-quarter 2023 financial results. "We delivered a standout third quarter, underscored by across-the-board progress on our process and product roadmaps, agreements with new foundry customers, and momentum as we bring AI everywhere," said Pat Gelsinger, Intel CEO. "We continue to make meaningful progress on our IDM 2.0 transformation by relentlessly advancing our strategy, rebuilding our execution engine and delivering on our commitments to our customers."

David Zinsner, Intel CFO, said, "Our results exceeded expectations for the third consecutive quarter, with revenue above the high end of our guidance and EPS benefiting from strong operating leverage and expense discipline. As demonstrated by our recent portfolio actions, we are highly focused on being great allocators of our owners' capital and unlocking value for shareholders."

Intel Announces New Investments for Gordon Moore Park R&D Facilities in Oregon

Intel today shared its plans to advance its semiconductor technology development facilities at the Gordon Moore Park at Ronler Acres in Hillsboro, Oregon. The campus is Intel's innovation hub for leading-edge semiconductor research, technology development and manufacturing in the United States. This undertaking is possible with support from the state of Oregon, city of Hillsboro and Washington County, and in anticipation of support from the U.S. CHIPS and Science Act.

"Intel has been dedicated to driving innovation and advancing technology in Oregon for almost five decades, and we are set to lead the charge in restoring America's leadership in semiconductor R&D and manufacturing, backed by Oregon and the U.S. CHIPS Act. This investment further solidifies our commitment to the Silicon Forest and rebalancing the global semiconductor supply chain," said Dr. Ann Kelleher, Intel executive vice president and general manager of the Technology Development Group.

Intel Announces Intent to Operate Programmable Solutions Group as Standalone Business Under Leadership of Sandra Rivera

Intel Corporation today announced its intent to separate its Programmable Solutions Group (PSG) operations into a standalone business. This will give PSG the autonomy and flexibility it needs to fully accelerate its growth and more effectively compete in the FPGA industry, which serves a broad array of markets, including the data center, communications, industrial, automotive, aerospace and defense sectors. Intel also announced that Sandra Rivera, executive vice president at Intel, will assume leadership of PSG as chief executive officer; Shannon Poulin has been named chief operating officer.

Standalone operations for PSG are expected to begin Jan. 1, 2024, with ongoing support from Intel. Intel expects to report PSG as a separate business unit when it releases first-quarter 2024 financials. Over the next two to three years, Intel intends to conduct an IPO for PSG and may explore opportunities with private investors to accelerate the business's growth, with Intel retaining a majority stake.

Intel Cancels Tower Semiconductor Merger

Intel Corporation (Nasdaq: INTC) today announced that it has mutually agreed with Tower Semiconductor (Nasdaq: TSEM) to terminate its previously disclosed agreement to acquire Tower due to the inability to obtain in a timely manner the regulatory approvals required under the merger agreement, dated Feb. 15, 2022. In accordance with the terms of the merger agreement and in connection with its termination, Intel will pay a termination fee of $353 million to Tower.

"Our foundry efforts are critical to unlocking the full potential of IDM 2.0, and we continue to drive forward on all facets of our strategy," said Pat Gelsinger, CEO of Intel. "We are executing well on our roadmap to regain transistor performance and power performance leadership by 2025, building momentum with customers and the broader ecosystem and investing to deliver the geographically diverse and resilient manufacturing footprint the world needs. Our respect for Tower has only grown through this process, and we will continue to look for opportunities to work together in the future."

Intel Reports Second-Quarter 2023 Financial Results, Foundry Services Business up

Intel Corporation today reported second-quarter 2023 financial results. "Our Q2 results exceeded the high end of our guidance as we continue to execute on our strategic priorities, including building momentum with our foundry business and delivering on our product and process roadmaps," said Pat Gelsinger, Intel CEO. "We are also well-positioned to capitalize on the significant growth across the AI continuum by championing an open ecosystem and silicon solutions that optimize performance, cost and security to democratize AI from cloud to enterprise, edge and client."

David Zinsner, Intel CFO, said, "Strong execution, including progress towards our $3 billion in cost savings in 2023, contributed to the upside in the quarter. We remain focused on operational efficiencies and our Smart Capital strategy to support sustainable growth and financial discipline as we improve our margins and cash generation and drive shareholder value." In the second quarter, the company generated $2.8 billion in cash from operations and paid dividends of $0.5 billion.

Intel Expects to Beat TSMC at 2nm, Intel Foundry to Operate Almost as a Separate Business

Intel's integrated device manufacturing (IDM) has been experiencing a lot of trouble in recent years, and the company is not a leading-edge semiconductor manufacturer, with TSMC taking the pole position. However, the new restructuring hopes to change some of the business operations to increase its efficiency and establish Intel as the go-to foundry for customers. David Zinsner, Executive Vice President and the Chief Financial Officer, alongside Jason Grebe, Corporate Vice President & GM of the Corporate Planning Group at Intel, joined investors to explain how IDM will transform into a next-generation business. Intel IDM, including Intel Foundry Services (IFS), will get a new operation model, which will put IDM as an almost separate business unit with its own profit and loss (P&L) statement published in the quarterly/yearly financial report.

According to Intel, the company's IDM 1.0 strategy has been serving it well, but IDM 2.0 is needed to build next-generation nodes as the capital required for them is massive. Intel hopes to regain node leadership with the Intel 18A node in 2025. The company's strategy is still to have IFS as the second biggest external foundry business, presumably just behind TSMC. Putting IDM into its own P&L will result in $8-10 billion in "cost reduction opportunities, " including ramp rates, test time, and sort times based on the market pricing, not Intel's pricing. At the start, IDM is expected o start with a negative operating margin. Intel also states that keeping IFS as a business unit allows the company to simultaneously develop products on it and de-risk it for customers who want to build on IFS. The company is developing five different products (assuming packaging) on Intel 18A, all of which will be available for customers to use as well.
Return to Keyword Browsing
Apr 30th, 2024 23:58 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts