News Posts matching #production

Return to Keyword Browsing

Samsung Strike Has No Immediate Impact on Memory Production, with No Shipment Shortages

The Samsung Electronics Union is reportedly planning to strike on June 7, TrendForce reports that this strike will not impact DRAM and NAND Flash production, nor will it cause any shipment shortages. Additionally, the spot prices for DRAM and NAND Flash had been declining prior to the strike announcement, and there has been no change in this downtrend since the announcement.

Samsung's global share of DRAM and NAND Flash output in 2023 was 46.8% and 32.4%, respectively. Even though the South Korean plants account for all 46.8% of global DRAM production and about 17.8% of global NAND Flash production, TrendForce identifies four reasons why this strike will not impact production. Firstly, the strike involves employees at Samsung's headquarters in Seocho, Seoul, where union participation in higher, but these employees do not directly engage in production. Secondly, this strike is planned for only one day, which falls within the flexible scheduling range for production.

Growing Demand for High-Capacity Storage Propels Enterprise SSD Revenue Up by Over 60% in 1Q24

TrendForce reports that a reduction in supplier production has led to unmet demand for high-capacity orders since 4Q23. Combined with procurement strategies aimed at building low-cost inventory, this has driven orders and significantly boosted enterprise SSD revenue, which reached US$3.758 billion in 1Q24—a staggering 62.9% QoQ increase.

TrendForce further highlights that demand for high-capacity, driven by AI servers, has surged. North American clients increasingly adopt high-capacity QLC SSDs to replace HDDs, leading to over 20% growth in Q2 enterprise SSD bit procurement. This has also driven up Q2 enterprise SSD contract prices by more than 20%, with revenue expected to grow by another 20%.

STMicroelectronics to Build the World's First Fully Integrated Silicon Carbide Facility in Italy

STMicroelectronics, a global semiconductor leader serving customers across the spectrum of electronics applications, announces a new high-volume 200 mm silicon carbide ("SiC") manufacturing facility for power devices and modules, as well as test and packaging, to be built in Catania, Italy. Combined with the SiC substrate manufacturing facility being readied on the same site,these facilities will form ST's Silicon Carbide Campus, realizing the Company's vision of a fully vertically integrated manufacturing facility for the mass production of SiC on one site.The creation of the new Silicon Carbide Campus is a key milestone to support customers for SiC devices across automotive, industrial and cloud infrastructure applications, as they transition to electrification and seek higher efficiency.

"The fully integrated capabilities unlocked by the Silicon Carbide Campus in Catania will contribute significantly to ST's SiC technology leadership for automotive and industrial customers through the next decades," said Jean-Marc Chery, President and Chief Executive Officer of STMicroelectronics. "The scale and synergies offered by this project will enable us to better innovate with high-volume manufacturing capacity, to the benefit of our European and global customers as they transition to electrification and seek more energy efficient solutions to meet their decarbonization goals."
STMicroelectronics Italy

Blackwell Shipments Imminent, Total CoWoS Capacity Expected to Surge by Over 70% in 2025

TrendForce reports that NVIDIA's Hopper H100 began to see a reduction in shortages in 1Q24. The new H200 from the same platform is expected to gradually ramp in Q2, with the Blackwell platform entering the market in Q3 and expanding to data center customers in Q4. However, this year will still primarily focus on the Hopper platform, which includes the H100 and H200 product lines. The Blackwell platform—based on how far supply chain integration has progressed—is expected to start ramping up in Q4, accounting for less than 10% of the total high-end GPU market.

The die size of Blackwell platform chips like the B100 is twice that of the H100. As Blackwell becomes mainstream in 2025, the total capacity of TSMC's CoWoS is projected to grow by 150% in 2024 and by over 70% in 2025, with NVIDIA's demand occupying nearly half of this capacity. For HBM, the NVIDIA GPU platform's evolution sees the H100 primarily using 80 GB of HBM3, while the 2025 B200 will feature 288 GB of HBM3e—a 3-4 fold increase in capacity per chip. The three major manufacturers' expansion plans indicate that HBM production volume will likely double by 2025.

Samsung Could Start 1nm Mass Production Sooner Than Expected

Samsung Foundry business is set to announce its technology roadmap and plans to strengthen the foundry ecosystem at the Foundry and SAFE Forum in Silicon Valley from June 12 to 13. Notably, Samsung is expected to advance its 1 nm process mass production plan, originally scheduled for 2027, to 2026. This move could look like a surprise since recent rumors (denied by Samsung) emerged about HBM3 and HBM3E chips running too hot and failing to be validated by NVIDIA.

Previously, Samsung successfully mass-produced the world's first 3 nm wafer foundry in June 2022. The company plans to start mass production of its second-generation 3 nm process in 2024 and 2 nm process in 2025. Speculations suggest Samsung may integrate these nodes and potentially begin mass-producing 2 nm chips as early as the second half of 2024. In comparison, rival TSMC aims to reach the A16 node (1.6 nm) in 2027 and start mass production of its 1.4 nm process around 2027-2028.
Samsung Foundry

Micron DRAM Production Plant in Japan Faces Two-Year Delay to 2027

Last year, Micron unveiled plans to construct a cutting-edge DRAM factory in Hiroshima, Japan. However, the project has faced a significant two-year delay, pushing back the initial timeline for mass production of the company's most advanced memory products. Originally slated to begin mass production by the end of 2025, Micron now aims to have the new facility operational by 2027. The complexity of integrating extreme ultraviolet lithography (EUV) equipment, which enables the production of highly advanced chips, has contributed to the delay. The Hiroshima plant will produce next-generation 1-gamma DRAM and high-bandwidth memory (HBM) designed for generative AI applications. Micron expects the HBM market, currently dominated by rivals SK Hynix and Samsung, to experience rapid growth, with the company targeting a 25% market share by 2025.

The project is expected to cost between 600 and 800 billion Japanese yen ($3.8 to $5.1 billion), with Japan's government covering one-third of the cost. Micron has received a subsidy of up to 192 billion yen ($1.2 billion) for construction and equipment, as well as a subsidy to cover half of the necessary funding to produce HBM at the plant, amounting to 25 billion yen ($159 million). Despite the delay, the increased investment in the factory reflects Micron's commitment to advancing its memory technology and capitalizing on the growing demand for HBM. An indication of that is the fact that customers have pre-ordered 100% of the HBM capacity for 2024, not leaving a single HBM die unused.

Malaysia Plans to Build the Largest Integrated Circuit Design Park in Southeast Asia

Malaysia is firmly positioning itself as a hub for semiconductor investment, with Prime Minister Anwar Ibrahim stating the country aims to attract over $100 billion in investment into the industry. This aligns with recent trends in the region, such as China's announcement of a massive $47.5 billion investment fund or Micron's plans to build a new chip factory in Hiroshima, Japan by the end of 2027.

As a major player accounting for 13% of global chip testing and packaging, Malaysia has benefited from strong investments by Intel ($7 billion for an advanced packaging plant) and Infineon ($5.4 billion to expand its power chip plant). The country now hopes around 10 local companies will make substantial investments in new facilities focused on chip design and advanced packaging. To support this goal, the Malaysian government plans to allocate $5.3 billion in fiscal backing, along with tax breaks and subsidies. It is targeting these investments to generate revenues between $210 million and $1 billion for the semiconductor industry in Malaysia.
Microchips

Toshiba Completes New 300-Millimeter Wafer Fabrication Facility for Power Semiconductors

Toshiba Electronic Devices & Storage Corporation ("Toshiba") today held a ceremony to mark the completion of a new 300-millimeter wafer fabrication facility for power semiconductors and an office building at Kaga Toshiba Electronics Corporation in Ishikawa Prefecture, Japan, one of Toshiba's key group companies. The completion of construction is a major milestone for Phase 1 of Toshiba's multi-year investment program. Toshiba will now proceed with equipment installation, toward starting mass production in the second half of fiscal year 2024. Once Phase 1 reaches full-scale operation, Toshiba's production capacity for power semiconductors, mainly MOSFETs and IGBTs, will be 2.5 times that of fiscal 2021, when the investment plan was made. Decisions on the construction and start of operation of Phase 2 will reflect market trends.

The new manufacturing building follows and will make a major contribution to Toshiba's Business Continuity Plan (BCP): it has a seismic isolation structure that absorbs earthquake shock and redundant power sources. Energy from renewable source and solar panels on the roof of the building (onsite PPA model) will allow the facility to meet 100% of its power requirement with renewable energy.

UMC Introduces Industry's First 3D IC Solution for RFSOI, Accelerating Innovations in the 5G Era

United Microelectronics Corporation ("UMC"), a leading global semiconductor foundry, today announced the industry's first 3D IC solution for RFSOI technology. Available on UMC's 55 nm RFSOI platform, the stacked silicon technology reduces die size by more than 45% without any degradation of radio frequency (RF) performance, enabling customers to efficiently integrate more RF components to address the greater bandwidth requirements of 5G.

As mobile device manufacturers pack more frequency bands in newer generations of smartphones, the company's 3D IC solution for RFSOI addresses the challenge of integrating more RF front-end modules (RF-FEM) - critical components in devices to transmit and receive data - in a device by vertically stacking dies to reduce surface area. RFSOI is the foundry process used for RF chips such as low noise amplifiers, switches, and antenna tuners. Utilizing wafer-to-wafer bonding technology, UMC's 3D IC solution for RFSOI resolves the common issue of RF interference between stacked dies. The company has received multiple patents for this process, which is now ready for production.

Samsung Electronics Begins Industry's First Mass Production of 9th-Gen V-NAND

Samsung Electronics Co., Ltd., the world leader in advanced memory technology, today announced that it has begun mass production for its one-terabit (Tb) triple-level cell (TLC) 9th-generation vertical NAND (V-NAND), solidifying its leadership in the NAND flash market.

"We are excited to deliver the industry's first 9th-gen V-NAND, which will bring future applications leaps forward. In order to address the evolving needs for NAND flash solutions, Samsung has pushed the boundaries in cell architecture and operational scheme for our next-generation product," said SungHoi Hur, Head of Flash Product & Technology at Samsung Electronics. "Through our latest V-NAND, Samsung will continue to set the trend for the high-performance, high-density solid state drive (SSD) market that meets the needs for the coming AI generation."

DRAM Manufacturers Gradually Resume Production, Impact on Total Q2 DRAM Output Estimated to Be Less Than 1%

Following in the wake of an earthquake that struck on April 3rd, TrendForce undertook an in-depth analysis of its effects on the DRAM industry, uncovering a sector that has shown remarkable resilience and faced minimal interruptions. Despite some damage and the necessity for inspections or disposal of wafers among suppliers, the facilities' strong earthquake preparedness of the facilities has kept the overall impact to a minimum.

Leading DRAM producers, including Micron, Nanya, PSMC, and Winbond had all returned to full operational status by April 8th. In particular, Micron's progression to cutting-edge processes—specifically the 1alpha and 1beta nm technologies—is anticipated to significantly alter the landscape of DRAM bit production. In contrast, other Taiwanese DRAM manufacturers are still working with 38 and 25 nm processes, contributing less to total output. TrendForce estimates that the earthquake's effect on DRAM production for the second quarter will be limited to a manageable 1%.

Magnitude 7.4 Earthquake in Taiwan Halts Production at TSMC and Other Foundries

At 07:58 local time, Taiwan was rocked by a magnitude 7.4 earthquake on the east coast which was felt nationwide and as far as to the southeastern parts of China and southern Japan. It caused some major damage in the east coast city of Hualien where the epicentre of the quake was located, as well as surrounding areas. The earthquake reportedly left nine people dead and over 900 people injured islandwide. TSMC, UMC, PSMC and Innolux all halted some of their production lines in the Hsinchu Science Park on the west coast of the island, although this is said to have been as a preventive step, rather than caused by actual damage from the earthquake.

All the above-mentioned companies also evacuated their staff from their factories due to the intensity of the quake, as it reached a magnitude of around four or five almost island wide. The semiconductor manufacturers are all inspecting their fabs now to make sure none of the equipment was damaged by the earthquake. Innolux also has a factory in the southern city of Kaohsiung and has reported that it has suspended production in Hsinchu, but that production in Kaohsiung wasn't affected. Local media in Taiwan hasn't made any mention of the likes of Micron or other chip manufacturers, but it's likely that the situation is similar, since all of these companies are located in the same areas on the island. Aftershocks have continued throughout the day and there's a risk for further big earthquakes to follow in the coming days.
Images courtesy of the Taiwan Central Weather Administration (CWA).

Update 15:11 UTC: Updated with an official statement from Micron below.

Researcher's Curiosity Uncovers Backdoor in Popular Linux Utility, Compromising SSH Connections

In a interesting discovery that sent a series of shockwaves through the Linux community, Andres Freund, Principal Software Engineer at Microsoft, located a malicious backdoor in the widely used compression tool called "xz Utils." The backdoor, introduced in versions 5.6.0 and 5.6.1 of the utility, can break the robust encryption provided by the Secure Shell (SSH) protocol, allowing unauthorized access to affected systems. What Andres Freund found is that the latest version of xz Utils is taking 0.5 seconds in SSH on his system, while the older system with the older version took 0.1 seconds for simple processing, prompting the user to investigate and later send a widespread act for caution. While there are no confirmed reports of the backdoored versions being incorporated into production releases of major Linux distributions, the incident has raised serious concerns among users and developers alike.

Red Hat and Debian, two of the most well-known Linux distribution developers, have reported that their recently published beta releases, including Fedora 40, Fedora Rawhide, and Debian testing, unstable, and experimental distributions, used at least one of the affected versions of xz Utils. According to Red Hat officials, the first signs of the backdoor were introduced in a February 23 update, which added obfuscated (unreadable) code to xz Utils. A subsequent update the following day introduced functions for deobfuscating the code and injecting it into code libraries during the utility's update process. The malicious code has been cleverly hidden only in the tarballs, which target upstream releases of Linux distributions.

Samsung Semiconductor Discusses "Water Stress" & Impact of Production Expansion

"The Earth is Blue," said Yuri Gagarin, the first human to journey into space. With two-thirds of its surface covered in water, Earth is a planet that exuberates its blue radiance in the dark space. However, today, the scarcity of water is a challenge that planet Earth is confronted with. For some, this may be hard to understand. What happened to our blue planet Earth? To put in numbers, more than 97% of the water on Earth consists of seawater, with another 2% locked in ice caps. That only leaves a mere 1% of water available for our daily use. The problem lies in the fact that this 1% of water is gradually becoming scarcer due to reasons such as climate change, environmental pollution, and population growth, leading to increased water stress. 'Water stress' is quantified by the proportion of water demand to the available water resources on an annual basis, indicating the severity of water scarcity as the stress index rises. Higher stress indexes signify experiencing severe water scarcity.

The semiconductor ecosystem, unsustainable without water
Because water stress issues transcend national boundaries, various stakeholders including international organizations and governments work to negotiate water resource management strategies and promote collaboration. UN designates March 22nd as an annual "World Water Day" to raise awareness about the severity of water scarcity running various campaigns. Now, it's imperative for companies to also take responsibility for the water resources given and pursue sustainable management.

China's President Believes Nation's Technological Development Unhindered, Despite Equipment Restrictions

Earlier today, Dutch Prime Minister Mark Rutte met with China's President Xi Jinping—fresh reportage has focused on their discussion of technological trade restrictions. Holland's premier had to carefully navigate the conversation around recent global tensions, most notably the prevention of fancy ASML chipmaking equipment reaching the Chinese mainland. CCTV (China's state broadcaster) selected a couple of choice quotes for inclusion in an online report—Xi remarked that: "the Chinese people also have the right to legitimate development, and no force can stop the pace of China's scientific and technological development and progress." Specific manufacturers and types of machinery were not mentioned during the meeting between state leaders, but media interpretations point to recent ASML debacles being entirely relevant, given the context of international relationships.

ASML is keen to keep Chinese firms on its order books—according to AP News: "China became ASML's second-largest market, accounting for 29% of its revenue as firms bought up equipment before the licensing requirement took effect." Revised licensing agreements have stymied the supply of ASML most advanced chipmaking tools—Chinese foundries have resorted to upgrading existing/older equipment (backed by government funding) in efforts to stay competitive with international producers. Semiconductor Manufacturing International Corporation (SMIC) is reportedly racing to get natively designed EUV machines patented (in co-operation with Huawei). Post-meeting, Rutte commented (to press) on the ongoing technology restrictions: "what I can tell you is that... when we have to take measures, that they are never aimed at one country specifically, that we always try to make sure that the impact is limited, is not impacting the supply chain, and therefore is not impacting the overall economic relationship."

InnoGrit Starts Mass Producing YRS820 PCIe 5.0 Controller, Based on RISC-V Architecture

InnoGrit's low-wattage 12 nanometer IG5666 controller popped up on the T-FORCE GE PRO PCIe 5.0 SSD series earlier in the year, but attention has turned to another consumer-grade design. Parent company—Yingren Technology—is not well known outside of China, although its InnoGrit brand has started to make inroads within Western markets. The enterprise-level YRS900 PCIe 5.0 SSD controller was announced last September—this open-source RISC-V-based solution was designed/engineered to "align with U.S. export restrictions." According to cnBeta and MyDrivers reports, a new YRS820 controller has successfully reached the mass production phase. This is a PCIe 5.0 consumer-grade controller, likely derived from its big sibling (YRS900).

According to InnoGrit presentation material, their new model is based on: "RISC-V instruction architecture, adopts a 4-channel PCIe 5.0 interface, is equipped with 8 NAND flash memory channels, supports NVMe 2.0 protocol, has an interface transmission rate of 2667MT/s, can be paired with 3D TLC/QLC, and supports a maximum capacity of up to 8 TB." Company representatives stated that the YRS820 controller is destined to be fitted on high-end consumer parts—the AI PC market segment is a key goal, since the YRS820 is able to: "accelerate data processing for specific applications and have high stability, consistency and security." cnBeta highlighted some anticipated performance figures: "YRS820 achieves sequential read 14 GB/s, sequential write 12 GB/s, random read and random write up to 2000K IOPs and 1500K IOPs respectively." InnoGrit did not reveal a release timetable, since their latest consumer-grade controller is going through a validation process. The company is currently collaborating with domestic NAND flash memory and DRAM manufacturers, as well as other industry bodies.

PlayStation VR2 Firmware Update Enables PC Access

The "iVRy VR" community-backed project has slowly chipped away at unlocking the PlayStation VR2's full potential—Sony's $550 (MSRP) virtual reality headset is locked into the PlayStation 5 ecosystem, but many gamers have requested that it become compatible with PC platforms. iVRy's progress on this front could be surpassed by first-party efforts—a month ago, Sony indicated that it was exploring new avenues: "we're pleased to share that we are currently testing the ability for PS VR2 players to access additional games on PC to offer even more game variety in addition to the PS VR2 titles available through PS5. We hope to make this support available in 2024, so stay tuned for more updates." Reports suggest that Sony's second generation product has not met sales expectations—insiders posit that company leadership has requested a pause of production. An entry into the PC market could boost the PS VR2's popularity, but it will face plenty of competition within an already "niche" segment.

iVRy has monitored Sony's progress with great interest—the former's social media account has disclosed the discovery of a new development milestone: "(their) latest firmware update enables PC access! This means it's no longer necessary to use driver/hardware workarounds to make it work on Windows. Still to be confirmed whether this update enables NVIDIA use, but all indications are that Sony's 'PC games' plans involve direct connection." The iVRy VR project has—so far—managed to (successfully) connect the PS VR2 to AMD GPU-based systems. Sony is expected to produce an official means of hooking up their headset to PCs. iVRy discussed this provision in their follow-up post: "a 'VirtualLink' adapter of some kind is still required due to PS VR2 hardware design. If Sony does intend to make 'official' PC drivers, they would need to provide this adapter to end-users."

TSMC Reportedly Investing $16 Billion into New CoWoS Facilities

TSMC is experiencing unprecedented demand from AI chip customers—unnamed parties have (fancifully) requested the construction of entirely new fabrication facilities. Taiwan's leading semiconductor contract manufacturer seems to concentrating on "sensible" expansions, mainly in the area of CoWoS packaging output—according to an Economic Daily report, company leadership and local government were negotiating over the construction of four new advanced packaging plants. Insiders propose that plans have been revised—an investment in excess of 500 billion yuan ($16 billion) will enable the founding of six new CoWoS-focused facilities. TSMC is expected to make an official announcement next month—industry moles reckon that construction work will start in April. Two (of the six total) advanced packaging plants could become fully operational before the conclusion of 2024.

Lately, TSMC has initiated an ambitious recruitment drive—targeting around 6000 new workers. A touring entity is tasked with the attraction of "talents with high enthusiasm for semiconductors." The majority of new recruits are likely heading to new or expanded Taiwan-based facilities. The Economic Daily report proposes that Chiayi City's technological hub will play host to TSMC's new CoWoS packaging plants. A DigiTimes Asia news piece (from January) posited that TSMC leadership anticipates CoWoS output reaching 44,000 units by the end of 2024. This predicted tally could grow, thanks to the (rumored) activation of additional factories. CoWoS packaging is considered to be a vital aspect of AI accelerators—insiders believe that TSMC's latest investment will boost production of NVIDIA H100 GPUs. The combined output of six new CoWoS plants will assist greatly in the creation of next-gen B100 chips.

Silicon Box Announces $3.6 Billion Foundry Deal - New Facility Marked for Northern Italy

Silicon Box, a cutting-edge, advanced panel-level packaging foundry announced its intention to collaborate with the Italian government to invest up to $3.6 billion (€3.2 billion) in Northern Italy, as the site of a new, state-of-the-art semiconductor assembly and test facility. This facility will help meet critical demand for advanced packaging capacity to enable next generation technologies that Silicon Box anticipates by 2028. The multi-year investment will replicate Silicon Box's flagship foundry in Singapore which has proven capability and capacity for the world's most advanced semiconductor packaging solutions, then expand further into 3D integration and testing. When completed, the new facility will support approximately 1,600 Silicon Box employees in Italy. The construction of the facility is also expected to create several thousand more jobs, including eventual hiring by suppliers. Design and planning for the facility will begin immediately, with construction to commence pending European Commission approval of planned financial support by the Italian State.

As well as bringing the most advanced chiplet integration, packaging, and testing to Italy, Silicon Box's manufacturing process is based on panel-level-production; a world leading, first-of-its-kind combination that is already shipping product to customers from its Singapore foundry. Through the investment, Silicon Box has plans for greater innovation and expansion in Europe, and globally. The new integrated production facility is expected to serve as a catalyst for broader ecosystem investments and innovation in Italy, as well as the rest of the European Union.

US Government to Announce Massive Grant for Intel's Arizona Facility

According to the latest report by Reuters, the US government is preparing to announce a multi-billion dollar grant for Intel's chip manufacturing operations in Arizona next week, possibly worth more than $10 billion. US President Joe Biden and Commerce Secretary Gina Raimondo will make the announcement, which is part of the 2022 CHIPS and Science Act aimed at expanding US chip production and reducing dependence on China and Taiwan manufacturing. The exact amount of the grant has yet to be confirmed, but rumors suggest it could exceed $10 billion, making it the most significant award yet under the CHIPS Act. The funding will include grants and loans to bolster Intel's competitive position and support the company's US semiconductor manufacturing expansion plans. This comes as a surprise just a day after the Pentagon reportedly refused to invest $2.5 billion in Intel as a part of a secret defense grant.

Intel has been investing significantly in its US expansion, recently opening a $3.5 billion advanced packaging facility in New Mexico, supposed to create extravagant packaging technology like Foveros and EMIB. The chipmaker is also expanding its semiconductor manufacturing capacity in Arizona, with plans to build new fabs in the state. Arizona is quickly becoming a significant hub for semiconductor manufacturing in the United States. In addition to Intel's expansion, Taiwan Semiconductor Manufacturing Company (TSMC) is also building new fabs in the state, attracting supply partners to the region. CHIPS Act has a total funding capacity of $39 billion allocated for semiconductor production and $11 billion for research and development. The Intel grant will likely cover the production part, as Team Blue has been reshaping its business units with the Intel Product and Intel Foundry segments.

Malaysian Government Targeting Front-end Semiconductor Manufacturing

Global tensions have caused big semiconductor manufacturers to consider a diversification of production facilities outside of China—most news headlines have concentrated on new operations or advancement/upgrades in the USA, India and Japan. As reported by the Financial Times, Malaysia has quietly established itself as a haven for big chip firms—a "free-trade zone" on the island of Penang is home to fancy Intel and Micron production operations. Team Blue's emerging next-gen Battlemage GPU was spotted during a summer 2023 press event—at the time, HardwareLuxx reported the existence of a "BMG G10" die in Intel Malaysia's Failure Lab. Micron celebrated its 45th anniversary last October, with the opening of a new cutting-edge assembly and test facility in Batu Kawan, Penang. The two firms—and a few others—established roots in Malaysia decades ago, but future investments are set to boost the nation's semiconductor industry.

According to Tom's Hardware: "Intel will spend a whopping $7 billion on new, Malaysian chip assembly and testing facilities. The overall total of foreign Malaysian investment in 2023 was $12.8 billion, and that exceeded its seven-year combined total from 2013 to 2020." Anwar Ibrahim, the country's Prime Minister, is keen to see manufacturing advance to a higher-value tier—a February FT.com interview reveals that this is a "critical goal" for his administration. The establishment of a front-end semiconductor manufacturing plant would be welcomed the most—Zafrul Aziz, Trade Minister of Malaysia, stated (to FT): "I am optimistic we will attract more than one. All it takes is one to kick-start a wave." Historically, Malaysian facilities have been created to deal with the back end of semiconductor supply chains—e.g. packing, assembling and testing components. Company leaderships consider these activities to be of lower value, due to their less complex nature. Certain foreign investments, into Malaysian plants, have come from Chinese firms—a growing presence of PRC-owned plants could complicate matters. The Financial Times article presents a possible future scenario, with the US Government stepping in...if alarmed to a certain degree.

Samsung Accelerates R&D of Glass Substrate Chip Packaging

The Samsung Group has formed a new cross-department alliance—according to South Korea's Sedaily—this joint operation will concentrate on the research and development of a "dream substrate." The company's Electronics, Electrical Engineering, and Display divisions are collaborating in order to accelerate commercialization of "glass substrate" chip packaging. Last September, Intel revealed its intention to become an industry leader in "glass substrate production for next-generation advanced packaging." Team Blue's shiny new Arizona fabrication site will be taking on this challenge, following ten years of internal R&D work. Industry watchdogs reckon that mass production—in North America—is not expected to kick off anytime soon. Sensible guesstimates suggest a start date somewhere in 2030.

The Sedaily article states that Samsung's triple department alliance will target "commercialization faster than Intel." Company representatives—in attendance at CES 2024—set a 2026 window as their commencement goal for advanced glass substrate chip package mass production. An unnamed South Korean industry watcher has welcomed a new entrant on the field: "as each company possesses the world's best technology, synergies will be maximized in glass substrate research, which is a promising field...it is also important to watch how the glass substrate ecosystem of Samsung's joint venture will be established." Glass substrate packaging is ideal for "large-area and high-performance chip combinations" due to inherent heat-resistant properties and material strength. So far, the semiconductor industry has struggled with its development—hence the continued reliance on plastic boards and organic materials.

Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits US$111.54 Billion in 2023

The latest TrendForce report reveals a notable 7.9% jump in 4Q23 revenue for the world's top ten semiconductor foundries, reaching $30.49 billion. This growth is primarily driven by sustained demand for smartphone components, such as mid and low-end smartphone APs and peripheral PMICs. The launch season for Apple's latest devices also significantly contributed, fueling shipments for the A17 chipset and associated peripheral ICs, including OLED DDIs, CIS, and PMICs. TSMC's premium 3 nm process notably enhanced its revenue contribution, pushing its global market share past the 60% threshold this quarter.

TrendForce remarks that 2023 was a challenging year for foundries, marked by high inventory levels across the supply chain, a weak global economy, and a slow recovery in the Chinese market. These factors led to a downward cycle in the industry, with the top ten foundries experiencing a 13.6% annual drop as revenue reached just $111.54 billion. Nevertheless, 2024 promises a brighter outlook, with AI-driven demand expected to boost annual revenue by 12% to $125.24 billion. TSMC, benefiting from steady advanced process orders, is poised to far exceed the industry average in growth.

SMIC Prepares for 3 nm Node Development, Requires Chinese Government Subsidies

SMIC, China's largest semiconductor manufacturer, is reportedly assembling a dedicated team to develop 3 nm semiconductor node technology, following reports of the company setting up 5 nm chip production for Huawei later this year. This move is part of SMIC's efforts to achieve independence from foreign companies and reduce its reliance on US technology. According to a report from Joongang, SMIC's initial goal is to commence operations of its 5 nm production line, which will mass-produce Huawei chipsets for various products, including AI silicon. However, SMIC is already looking beyond the 5 nm node. The company has assembled an internal research and development team to begin work on the next-generation 3 nm node.

The Chinese manufacturer is expected to accomplish this using existing DUV machinery, as ASML, the sole supplier of advanced EUV technology, is prohibited from providing equipment to Chinese companies due to US restrictions. It is reported that one of the biggest challenges facing SMIC is the potential for low yields and high production costs. The company is seeking substantial subsidies from the Chinese government to overcome these obstacles. Receiving government subsidies will be crucial for SMIC, especially considering that its 5 nm chips are expected to be up to 50 percent more expensive than TSMC's due to the use of older DUV equipment. The first 3 nm wafers from SMIC are not expected to roll out for several years, as the company will prioritize the commercialization of Huawei's 5 nm chips. This ambitious undertaking by SMIC represents a significant challenge for the company as it strives to reduce its dependence on foreign semiconductor technology and establish itself as an essential player in the global manufacturing industry.

Frogware's "The Sinking City 2" Has Evolved into a Full-Blown Horror Game

The characters in a Lovecraft story are susceptible to… mutation. Minds and bodies are forever altered by exposure to the horrors hiding in the darker corners of the world. It's perhaps no surprise, then, that The Sinking City 2—which just got a world premiere in the latest Xbox Partner Preview broadcast—has undergone its own unexpected change. Where the first game was a detective adventure, with horror bubbling up from its flooded world, its sequel has taken on a darker, more aggressive form. The Sinking City 2 is a true horror game now, with more emphasis on combat, while keeping a Lovecraftian narrative close to its twisted heart.

"The Sinking City is one of our most successful titles, because of our stronger horror genre leanings and the setting," Frogwares Head of Publishing, Sergey Oganesyan tells me. "For us, it was kind of a breakthrough, and we are super excited to work on a full-scale horror game. We have created quite a few detective adventures in the past, so now we're going to mix things up to keep doing what people love from us - meaning story-rich experiences - while still being able to evolve."
Return to Keyword Browsing
Jun 1st, 2024 22:43 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts