News Posts matching #Electronics

Return to Keyword Browsing

Total Revenue of Global Top 10 IC Design Houses for 3Q22 Showed QoQ Drop of 5.3%; Broadcom Overtaking NVIDIA and AMD

Global market intelligence firm TrendForce reports that the revenue generation momentum of the global IC design industry slowed down in 3Q22. The main factors behind this development were the Russia-Ukraine military conflict, the recent COVID-19 lockdowns in China, the ongoing inflation, and clients undergoing inventory corrections. The total revenue of the global top 10 IC design houses came to US$37.38 billion for 3Q22, showing a QoQ decline of 5.3%. Qualcomm remained first place in the ranking of the global top 10 IC design houses by revenue for 3Q22. Broadcom returned to second place by overtaking NVIDIA and AMD, who slipped to third and fourth respectively due to weakening demand for PCs and cryptocurrency mining machines.

Regarding US-based IC design houses that were in the top 10 group for 3Q22, Qualcomm recorded a QoQ increase for the sales of smartphone SoCs and 5G modem chips. It also made gains in the automotive electronics market by expanding its collaborations with partners in the automotive industry. As a result, Qualcomm's 3Q22 revenue figures for mobile and automotive offerings reflected QoQ increases of 6.8% and 22.0% respectively. The revenue growth of these two major product categories offset the marginal decline in the revenue for RF front-end chips. Qualcomm's IC design revenue as a whole climbed up by 5.6% QoQ to US$9.90 billion for 3Q22. The company sat firmly at the top of the ranking.

Hundreds of Renesas' Intersil-Brand Radiation-Hardened ICs Lift Off Onboard Artemis 1 Mission to the Moon

Renesas Electronics Corporation, a premier supplier of advanced semiconductor solutions, today announced that hundreds of its radiation-hardened (rad-hard) integrated circuits (ICs), including over 50 different part numbers, are onboard the Artemis 1 launch that blasted off on November 16. Intersil-brand rad-hard ICs are part of the battery management systems, RS-25 engine control electronics and the launch abort system on the Space Launch System that propelled the mission into space, the most powerful rocket ever built. On the Orion Capsule that will circle the moon, Renesas provided critical components for controller boards, the main flight computer, the docking camera system, the power distribution system and display and panel electronics. The Intersil-brand ICs perform multiple functions, including power management and precision signal processing.

Artemis is the ambitious NASA program that will take humankind back to the moon for the first time in more than 50 years. Artemis 1 is sending the test-mannequin populated Orion capsule to orbit the moon and deploy cubesats and other space experiments on a 42-day mission to test all the critical systems. Artemis 2 (2024) will have a crew that will orbit the moon paving the way for Artemis 3 (2025), which will land the first woman and the first person of color on the moon. The plan is for Artemis to continue to build a space station in lunar orbit and a base on the lunar South Pole. This infrastructure will allow for the awe-inspiring goal of a crewed mission to Mars in the 2040s.

Global 200mm Semiconductor Fab Capacity Projected to Surge 20% to Record High by 2025

Semiconductor manufacturers worldwide are estimated to increase 200 mm fab capacity 20% from 2021 through 2025, adding 13 new 200 mm lines as the industry reaches a record high of more than 7 million wafers per month (wpm), SEMI announced today in its 200 mm Fab Outlook to 2025 report. Surging demand for automotive and other applications are driving the capacity expansion for power semiconductors and MEMS.

Chipmakers including ASMC, BYD Semiconductor, China Resources Microelectronics, Fuji Electronics, Infineon Technologies, Nexperia and STMicroelectronics have announced new 200 mm fabs to meet growing demand.The SEMI 200 mm Fab Outlook to 2025 report shows fab capacity for automotive and power semiconductors growing at a rate of 58% from 2021 to 2025, followed by MEMS at 21%, foundry at 20% and analog at 14%.

LG Announces UltraGear 240Hz Curved OLED Gaming Monitor

LG Electronics (LG) is unveiling its latest premium monitors at IFA 2022 in Berlin, Germany, including the superb, new UltraGear OLED gaming monitor (model 45GR95QE). LG's first curved OLED display with a 240Hz refresh rate, the 45-inch model is designed for immersive gaming experiences, offering the visual performance, speed and features serious gamers demand.

Visitors to LG's booth at IFA 2022 can explore a wide range of cutting-edge LG monitor products, including the new UltraGear and the UltraFine Display Ergo AI (model 32UQ890), which delivers a truly customizable setup for superior user comfort at home or at the office.

VESA Introduces ClearMR Certification for Motion Blur Clarity

The Video Electronics Standards Association (VESA) today introduced the ClearMR Compliance Test Specification (ClearMR), an industry standard and logo program that provides a new quality metric for grading motion blur in digital displays. ClearMR is applicable to both LCD and emissive display products, including display panels, TVs, monitors, and computers with embedded displays, such as all-in-ones, laptops, notebooks and tablets. The new metric Clear Motion Ratio (CMR), as defined in the ClearMR standard, provides a clear numerical value based on the ratio of clear pixels to blurry pixels, which enables consumers to easily compare the amount of motion blur between VESA Certified ClearMR displays. CMR replaces Motion Picture Response Time (MPRT) and other methods of blur characterization since these other metrics do not accurately reflect the true nature of blur.

NVIDIA PrefixRL Model Designs 25% Smaller Circuits, Making GPUs More Efficient

When designing integrated circuits, engineers aim to produce an efficient design that is easier to manufacture. If they manage to keep the circuit size down, the economics of manufacturing that circuit is also going down. NVIDIA has posted on its technical blog a technique where the company uses an artificial intelligence model called PrefixRL. Using deep reinforcement learning, NVIDIA uses the PrefixRL model to outperform traditional EDA (Electronics Design Automation) tools from major vendors such as Cadence, Synopsys, or Siemens/Mentor. EDA vendors usually implement their in-house AI solution to silicon placement and routing (PnR); however, NVIDIA's PrefixRL solution seems to be doing wonders in the company's workflow.

Creating a deep reinforcement learning model that aims to keep the latency the same as the EDA PnR attempt while achieving a smaller die area is the goal of PrefixRL. According to the technical blog, the latest Hopper H100 GPU architecture uses 13,000 instances of arithmetic circuits that the PrefixRL AI model designed. NVIDIA produced a model that outputs a 25% smaller circuit than comparable EDA output. This is all while achieving similar or better latency. Below, you can compare a 64-bit adder design made by PrefixRL and the same design made by an industry-leading EDA tool.

Samsung Unveils ISOCELL Image Sensor with Industry's Smallest 0.56μm Pixel

Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today introduced the 200MP ISOCELL HP3, the image sensor with the industry's smallest 0.56-micrometer (μm)-pixels. "Samsung has continuously led the image sensor market trend through its technology leadership in high resolution sensors with the smallest pixels," said JoonSeo Yim, executive vice president of sensor business team at Samsung Electronics. "With our latest and upgraded 0.56μm 200MP ISOCELL HP3, Samsung will push on to deliver epic resolutions beyond professional levels for smartphone camera users."

Since its first 108MP image sensor roll-out in 2019, Samsung has been leading the trend of next-generation, ultra-high-resolution camera development. Through the steady launch of new image sensors and advancements in performance, the company is once again forging ahead with the 0.56μm 200MP ISOCELL HP3. The ISOCELL HP3, with a 12 percent smaller pixel size than the predecessor's 0.64μm, packs 200 million pixels in a 1/1.4" optical format, which is the diameter of the area that is captured through the camera lens. This means that the ISOCELL HP3 can enable an approximately 20 percent reduction in camera module surface area, allowing smartphone manufacturers to keep their premium devices slim.

TrendForce: Demand for Consumer Electronics Sluggish, NAND Flash Wafer Pricing Leads Downturn in May

According to TrendForce research, looking at NAND Flash wafers, the pricing of which more sensitively reflects the market, suppliers are increasingly motivated to cut prices in exchange for sales due to weak retail demand since March and a more conservative outlook for shipments of other end products. The price of NAND Flash wafers is expected to begin falling in May and the supply of NAND Flash will gradually overtake demand in 2H22. The price decline of NAND Flash wafers in 3Q22 may reach 5~10%.

At the same time, TrendForce indicates that February's contamination incident at Kioxia was expected to tighten the market in 2Q22 and 3Q22. However, as a consequence of rising inflation and the war between Russia and Ukraine, market demand for consumer products in the traditional peak season of the second half of the year is trending conservative and the prices of client SSD, eMMC, and UFS in 3Q22 will be flat compared to 2Q22, breaking from the original expectation that prices may rise. In terms of enterprise SSDs, as demand for data centers remains strong, no significant correction in demand has yet been observed. However, as the overall NAND Flash market gradually moves into oversupply, prices will only grow slightly by approximately 0~5% in 3Q22.

Vedanta and Foxconn Sign MOU for Manufacturing Semiconductors in India

Vedanta, one of India's leading multinational groups, and Hon Hai Technology Group ("Foxconn"), world's largest electronics manufacturing company, today announced signing an MOU to form a joint venture company that will manufacture semiconductors in India. This first-of-its-kind joint venture between the two companies will support Indian Prime Minister Narendra Modi's vision to create an ecosystem for semiconductor manufacturing in India.

According to the MOU signed between the two companies, Vedanta will hold the majority of the equity in the JV, while Foxconn will be the minority shareholder. Vedanta Chairman Anil Agarwal will be the Chairman of the joint venture company. The targeted project plans to invest for manufacturing semiconductors. It will provide a significant boost to domestic manufacturing of electronics in India. Discussions are currently ongoing with a few State Governments to finalize the location of the plant. The collaboration between Vedanta and Foxconn follows the India Government's recent policy announcement for Electronics Manufacturing & PLI scheme for incentivizing organizations to contribute towards development of this sector. This will be the first joint venture in the electronics manufacturing space after the announcement of the policy.

Toshiba to Expand Power Semiconductor Production Capacity With 300-Millimeter Wafer Fabrication Facility

Toshiba Electronic Devices & Storage Corporation ("Toshiba") today announced that it will construct a new 300-milimeter wafer fabrication facility for power semiconductors at its main discrete semiconductor production base, Kaga Toshiba Electronics Corporation, in Ishikawa Prefecture. Construction will take place in two phases, allowing the pace of investment to be optimized against market trends, with the production start of Phase 1 scheduled for within fiscal 2024. When Phase 1 reaches full capacity, Toshiba's power semiconductor production capacity will be 2.5 times that of fiscal 2021.

Power devices are essential components for managing and reducing power consumption in every kind of electronic equipment, and for achieving a carbon neutral society. Current demand is expanding on vehicle electrification and the automation of industrial equipment, with very strong demand for low-voltage MOSFETs (metal oxide semiconductor field effect transistors) and IGBTs (insulated-gate bipolar transistors) and other devices. To date, Toshiba has met this demand growth by increasing production capacity on 200-milimeter lines, and expediting the start of production on 300-milimeter production lines from the first half of fiscal 2023 to the second half of fiscal 2022. Decisions on the new fab's overall capacity and equipment investment, the start of production, production capacity and production plan will reflect market trends.

BOE Creates 27-Inch Full HD Display With 500 Hz Refresh Rate

If you thought that your 144 Hz monitor sounds rather fancy, you would have to think again after seeing this. Beijing Oriental Electronics Group Co., Ltd or BOE shortly, has announced that the company has managed to design and manufacture a 27-inch full HD display with a refresh rate of 500 Hz. No, this is not a typo, and the company made a display with such a high refresh rate. This technology marvel features an 8-lane eDP connection paired with a 1 ms response time and an actual 8-bit color gamut. While this refresh rate may not suit every AAA game title, players of CS: GO, and DOTA 2 are likely targets. Along with this 500 Hz monitor, BOE also announced a 110-inch 8K 120 Hz panel. You can read more about it from the company statement below..
BOE (Machine Translation from Chinese)With years of technology accumulation, BOE has made important breakthroughs in the field of oxide semiconductor display technology, overcoming industry problems such as copper (Cu) easy to diffuse, easy to oxidize, and easy to drill and engrave, and is the first in the industry to achieve mass production of copper interconnect stack structures., and the integration of high refresh rate, high resolution, low power consumption oxide display technology, breaking the foreign monopoly, and continue to launch low power consumption, ultra-narrow bezel, 500Hz+ gaming display, super-sized 8K Oxide 120Hz, A series of high-end technologies and products such as frequency conversion refresh rate display. At the same time, great breakthroughs have been made in the research and development of high mobility 30+ cm 2 / Vsoxide technology, which has laid a technical foundation for the subsequent performance improvement of high-end products.

Gartner: Worldwide Semiconductor Revenue Grew 25.1% in 2021, Exceeding $500 Billion For the First Time

Worldwide semiconductor revenue increased 25.1% in 2021 to total $583.5 billion, crossing the $500 billion threshold for the first time, according to preliminary results by Gartner, Inc.

"As the global economy bounced back in 2021, shortages appeared throughout the semiconductor supply chain, particularly in the automotive industry," said Andrew Norwood, research vice president at Gartner. "The resulting combination of strong demand as well as logistics and raw material price increases drove semiconductors' average selling price higher (ASP), contributing to overall revenue growth in 2021.

Samsung Electronics Showcases Monitor Leadership at CES With Versatile 2022 Lineup

Samsung Electronics today announced new models in its monitor lineup that exemplify its leadership within the sector. The versatile new lineup delivers beautiful picture quality and intuitive features that give consumers more choice when selecting a monitor that fits their exact needs.

The 2022 monitor portfolio brings out the best experiences while working, gaming or watching content at home. Samsung's latest monitors include features for high-performance gaming as well as smart and pro-level elements, such as the Odyssey's Quantum Mini LED backlight panel and HDR 2000; the Smart Monitor's do-it-all screen with smart features; and the High Resolution Monitor's comfortable ergonomics.

Russian Baikal-S Processor With 48 Arm-Based Cores Boots Up, Uses RISC-V Coprocessor for Safe Boot and Management

In recent years, government institutions have been funding the development of home-grown hardware that will power the government infrastructure. This trend was born out of a desire to design chips with no back doors implemented so that no foreign body could monitor the government's processes. Today, Russian company Baikal Electronics managed to boot up the Baikal-S processor with 48 cores based on Arm Instruction Set Architecture (ISA). The processor codenamed BE-S1000 manages to operate 48 cores at a 2.0 GHz base frequency, with a maximum boost of 2.5 GHz clock speed. All of that is achieved at the TDP of 120 Watts, making this design very efficient.

When it comes to some server configurations, the Baikal-S processor run in up to four sockets in a server board. It offers a home-grown RISC-V processor for safe boot and management, so the entire SoC is controlled by a custom design. Baikal Electronics provided some benchmark numbers, which you can see in the slides below. They cover SPEC2006 CPU Integer, Coremark, Whetstone, 7Zip, and HPLinkpack performance. Additionally, the company claims that Baikal-S is in line with Intel Xeon Gold 6148 Skylake design and AMD EPYC 7351 CPU based on Zen1 core. Compared to Huawei's Kunpeng 920, the Baikal-S design provides 0.86x performance.

TOP500 Update Shows No Exascale Yet, Japanese Fugaku Supercomputer Still at the Top

The 58th annual edition of the TOP500 saw little change in the Top10. The Microsoft Azure system called Voyager-EUS2 was the only machine to shake up the top spots, claiming No. 10. Based on an AMD EPYC processor with 48 cores and 2.45GHz working together with an NVIDIA A100 GPU and 80 GB of memory, Voyager-EUS2 also utilizes a Mellanox HDR Infiniband for data transfer.

While there were no other changes to the positions of the systems in the Top10, Perlmutter at NERSC improved its performance to 70.9 Pflop/s. Housed at the Lawrence Berkeley National Laboratory, Perlmutter's increased performance couldn't move it from its previously held No. 5 spot.

ATP's New 3D TLC SSDs Match MLC Endurance with 66% Higher Endurance

ATP Electronics, the global leader in specialized storage and memory solutions, introduces the A750Pi and A650Si/Sc Series embedded SSDs built on 3D triple level cell (TLC) NAND flash. Manufactured using a new die package, the Serial ATA solid state drives (SATA SSDs) deliver 66% higher endurance in native TLC mode and 50% higher in pseudo single level cell (pSLC) mode, making them on par with drives built on multi-level cell (MLC) and SLC flash, respectively.

The new SSDs come in M.2 2280 and 2242 as well as 2.5" and mSATA form factors. A750Pi/A650Si SSDs support industrial temperature (I-Temp) ranges from -40°C to 85°C to perform reliably even when operating under extreme and harsh conditions. A650Sc SSDs can support commercial operating temperatures (C-Temp) from 0°C to 70°C. A650Si/Sc with native TLC NAND flash have capacities from 120 to 1920 GB, while A750Pi drives configured in pSLC offer 80 to 640 GB. Depending on project and specific part number request, ATP's new SATA embedded SSDs are also available as self-encrypting drives (SEDs) featuring AES-256 encryption and Opal TCG 2.0-compliant security.

Digi-Key Electronics Introduces New 3D Printing Service

Digi-Key Electronics, which offers the world's largest selection of electronic components in stock for immediate shipment, announced that it is launching a new 3D Printing and Additive Manufacturing tool, powered by Jabil Inc. Through this new tool, Digi-Key customers can upload design files, receive instant quotes and have custom 3D products and items shipped directly to their door from Jabil. Featuring a seamless upload and "add to cart" experience for users, this new additive manufacturing tool provides fast turnaround times and free shipping to customers in the U.S.

"We are very excited to launch our new 3D Printing and Additive Manufacturing service in order to give electrical, mechanical and industrial engineers the ability to easily create custom 3D products for manufacturing purposes," said Missy Hall, senior director, new market development, at Digi-Key. "This introduction is a flagship option of Digi-Key's additive manufacturing services portfolio, and we look forward to continuing to expand our offerings to include many suppliers to serve the needs of the additive manufacturing market."

EU Commission Pushing Forward with Unified Electronics Charger Standard and Unbundling of Chargers

What can only be called a long running drama, the EU has once again put its foot down when it comes to chargers for various consumer electronics devices, although it's mostly about smartphones and regular old mobile phones these days. The whole thing took off some time in 2009, although back then, it was a voluntary effort and according to today's press release by the EU Commission, we're down from 30 to three "competing" standards (micro USB, lightning and USB-C), but apparently that is still not good enough.

As such, the EU Commission has now decided that USB-C is the answer to their prayers and it'll now be an enforced standard for a wide range of devices if they're to be allowed to be sold in the EU. We doubt this will go down well with many device manufacturers, Apple being the obvious one here, even though the company has been slowly transitioning to USB-C on its tablets, none of its phones are using USB-C today. The following device categories are affected: smartphones, tablets, cameras, headphones, portable speakers and handheld video game consoles.

Rare Earth Metal Prices Are Skyrocketing, Electronics Prices Expected To Follow

If it wasn't bad enough that we're in the middle of a pandemic, which has resulted in major shipping issues globally and a semiconductor shortage, it now looks like electronics are likely to get even more expensive due to skyrocketing prices of many rare earth metals.
Nikkei is reporting that many often overlooked materials, such as neodymium and the lesser known praseodymium, have increased by almost 74 percent since the same time last year and that's only one of several key materials that have increased in price by 50 percent or more in a year.

It's no secret that lithium has increased in price and it now costs about 150 percent of what it was costing last year. However, many other, less obvious materials have also increased in price, with copper up over 37 percent and tin up almost 82 percent in a year. To TPU's readers this mainly means that you can expect higher costs for PCBs and all the components that are soldered onto them, as tin is used to solder just about every component in place.

Samsung Electronics Announces Second Quarter 2021 Results

Samsung Electronics today reported financial results for the second quarter ended June 30, 2021. Total consolidated revenue was KRW 63.67 trillion, a 20% increase from the previous year and a record for the second quarter. Operating profit increased 34% from the previous quarter to KRW 12.57 trillion as market conditions improved in the memory market, operations normalized at the Austin foundry fab, and as effective global supply chain management (SCM) helped maintain solid profitability for the finished product businesses.

The Semiconductor business saw a significant improvement in earnings as memory shipments exceeded previous guidance and price increases were higher than expected, while the Company strengthened its cost competitiveness. For the Display Panel Business, a one-off gain and an increase in overall prices boosted profits.

Monitor Shipment for 2021 Expected to Reach 150 Million Units, Says TrendForce

Owing to high demand generated by the proliferation of WFH and distance education, monitor shipment for 2020 reached 140 million units, an 8.6% growth YoY, which represents the highest growth in about 10 years, according TrendForce's latest investigations. With demand persisting through 1H21, monitor shipment for 1Q21 underwent a staggering YoY increase of 34.1%, and this figure is projected to exceed 10% for 2Q21. Total monitor shipment for 2021 will likely reach 150 million units, a 7.3% growth YoY. Gaming monitors, which have been gaining attention in the monitor market, are expected to make up 17.3% of this total and reach 25.9 million units in shipment in 2021. In addition to being one of the most in-demand applications in the stay-at-home economy, this product category has long been a resource-intensive focus of monitor brands and panel suppliers alike.

Arm Announces Neoverse N2 and V1 Server Platforms

The demands of data center workloads and internet traffic are growing exponentially, and new solutions are needed to keep up with these demands while reducing the current and anticipated growth of power consumption. But the variety of workloads and applications being run today means the traditional one-size-fits all approach to computing is not the answer. The industry demands flexibility; design freedom to achieve the right level of compute for the right application.

As Moore's Law comes to an end, solution providers are seeking specialized processing. Enabling specialized processing has been a focal point since the inception of our Neoverse line of platforms, and we expect these latest additions to accelerate this trend.

Samsung Could Become Apple's Newest Chip Supplier

Apple has recently announced its transition to Apple Silicon, meaning that every processor inside its products will be custom designed by the company. However, that seems to be becoming a bit of a problem. The sole supplier of chips for Apple has been Taiwan Semiconductor Manufacturing Company (TSMC), which Apple collaborated with for the past few years. The sheer capacity of TSMC is enough to satisfy the demand from several companies and thus it allows some of them to book its capacity. With Apple demanding more and more capacity than ever before, it is becoming quite hard to keep up with it. That is why Apple is, according to some analysts for Business Korea, looking for a foundry beyond TSMC's to manufacture its chips.

According to the source, Apple is looking at the direction of Samsung Electronics and its silicon manufacturing facilities. Samsung has recently started the production of its 5 nm silicon manufacturing node. We have reported that the first SoCs are set to arrive soon. However, it may be possible that Apple's M1 lineup of SoCs will be a part of that first wave. Apple is reportedly going to tap both TSMC and Samsung to qualify enough supply for the huge demand of the products based on the latest 5 nm technology.

Samsung's 5 nm Node in Production, First SoCs to Arrive Soon

During its Q3 earnings call, Samsung Electronics has provided everyone with an update on its foundry and node production development. In the past year or so, Samsung's foundry has been a producer of a 7 nm LPP (Low Power Performance) node as its smallest node. That is now changed as Samsung has started the production of the 5 nm LPE (Low Power Early) semiconductor manufacturing node. In the past, we have reported that the company struggled with yields of its 5 nm process, however, that seems to be ironed out and now the node is in full production. To contribute to the statement that the new node is doing well, we also recently reported that Samsung will be the sole manufacturer of Qualcomm Snapdragon 875 5G SoC.

The new 5 nm semiconductor node is a marginal improvement over the past 7 nm node. It features a 10% performance improvement that is taking the same power and chip complexity or a 20% power reduction of the same processor clocks and design. When it comes to density, the company advertises the node with x1.33 times increase in transistor density compared to the previous node. The 5LPE node is manufactured using the Extreme Ultra-Violet (EUV) methodology and its FinFET transistors feature new characteristics like Smart Difusion Break isolation, flexible contact placement, and single-fin devices for low power applications. The node is design-rule compatible with the previous 7 nm LPP node, so the existing IP can be used and manufactured on this new process. That means that this is not a brand new process but rather an enhancement. First products are set to arrive with the next generation of smartphone SoCs, like the aforementioned Qualcomm Snapdragon 875.

Raja Koduri to Present at Samsung Foundry Forum amid Intel's Outsourcing Efforts

Intel's chief architect and senior vice president of discrete graphics division, Mr. Raja Koduri, is said to be scheduled to present at Samsung Electronics Event day. With a presentation titled "1000X More Compute for AI by 2025", the event is called Samsung Foundry SAFE Forum. It is a global virtual conference designed to be available to everyone. So you might be wondering what is Mr. Koduri doing there. Unless you have been living under a rock, you know about Intel's struggles with node manufacturing. Specifically, the 10 nm node delays that show the company's efforts to deliver a node on time. The same is happening with the 7 nm node that also experienced significant delays.

Intel has a contract to develop an exascale supercomputer at Argonne National Laboratory, called Aurora. That supercomputer is using Intel's CPUs and the company's upcoming Xe GPUs. Since the company has problems with manufacturing and has to deliver the products (it is bound by several contracts) to its contractors and customers, it decided to look at external manufacturers for its products, specifically Xe graphics. Being that Mr. Koduri tweeted an image of him visiting Samsung Giheung Fab in Korea, and now presenting at the Samsung Foundry event, it is possible that Intel will tap Samsung's semiconductor manufacturing process for its Xe GPU efforts and that Samsung will be the contractor in charge.
Return to Keyword Browsing
May 21st, 2024 18:43 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts