News Posts matching #Intel Foundry Services

Return to Keyword Browsing

With PowerVia, Intel Achieves a Chipmaking Breakthrough

Intel is about to turn chipmaking upside down with PowerVia, a new approach to delivering power that required a radical rethink to both how chips are made and how they are tested. For all the modern history of computer chips, they've been built like pizzas—from the bottom up, in layers. In the case of chips, you start with the tiniest features, the transistors, and then you build up increasingly less-tiny layers of wires that connect the transistors and different parts of the chip (these are called interconnects). Included among those top layers are the wires that bring in the power that makes the chip go.

When the chip is done, you flip it over, enclose it in packaging that provides connections to the outer world, and you're ready to put it in a computer. Unfortunately, this approach is running into problems. As they get smaller and denser, the layers that share interconnects and power connections have become an increasingly chaotic web that hinders the overall performance of each chip. Once an afterthought, "now they have a huge impact," says Ben Sell, vice president of Technology Development at Intel and part of the team that brought PowerVia to fruition. In short, power and signals fade, requiring workarounds or simply dumping more power in.

Intel Foundry Services Ushers in a New Era

In April, Intel Foundry Services (IFS) and Arm announced a multigenerational agreement to enable chip designers to build low-power compute system-on-chip (SoCs) on Intel technology. We are excited to provide our customers with the opportunity to design their mobile SoCs on Intel's leading-edge 18 A process technology paired with the latest, most powerful Arm CPU core—the recently launched next-generation Cortex-X4—for improved power and performance. This is a great sign of the momentum building around IFS, and it is part of the reason why I was eager to take on leadership of the organization in March. IFS is on a remarkable journey, and now that I've spent some time with the organization, I want to share more about why our mission is critically important for foundry customers around the world, for Intel and for me.

I first joined Intel in 1981 at the dawn of the PC era and returned in 2021 when our CEO Pat Gelsinger introduced Intel's IDM 2.0 strategy. In hearing his vision, I was motivated by the opportunity to help restore Intel's global leadership in the growing semiconductor industry. The global demand for semiconductors continues to see sustained, long-term growth, and the chip industry is expected to reach $1 trillion in sales by the end of the decade. This is an unprecedented opportunity. At the same time, 80% of the world's chip manufacturing capacity is concentrated in Asia, and many foundry customers are looking for more options.

Arm Launches the Cortex-X4, A720 and A520, Immortalis-G715 GPU

Mobile devices touch every aspect of our digital lives. In the palm of your hand is the ability to both create and consume increasingly immersive, AI-accelerated experiences that continue to drive the need for more compute. Arm is at the heart of many of these, bringing unlimited delight, productivity and success to more people than ever. Every year we build foundational platforms designed to meet these increasing compute demands, with a relentless focus on high performance and efficiency. Working closely with our broader ecosystem, we're delivering the performance, efficiency and intelligence needed on every generation of consumer device to expand our digital lifestyles.

Today we are announcing Arm Total Compute Solutions 2023 (TCS23), which will be the platform for mobile computing, offering our best ever premium solution for smartphones. TCS23 delivers a complete package of the latest IP designed and optimized for specific workloads to work seamlessly together as a complete system. This includes a new world-class Arm Immortalis GPU based on our brand-new 5th Generation GPU architecture for ultimate visual experiences, a new cluster of Armv9 CPUs that continue our performance leadership for next-gen artificial intelligence (AI), and new enhancements to deliver more accessible software for the millions of Arm developers.

RIKEN and Intel Collaborate on "Road to Exascale"

RIKEN and Intel Corporation (hereafter referred to as Intel) have signed a memorandum of understanding on collaboration and cooperation to accelerate joint research in next-generation computing fields such as AI (artificial intelligence), high-performance computing, and quantum computers. The signing ceremony was concluded on May 18, 2023. As part of this MOU, RIKEN will work with Intel Foundry Services (IFS) to prototype these new solutions.

Intel Reports First-Quarter 2023 Financial Results: Client and Server Businesses Down 38-39% Each

Intel Corporation today reported first-quarter 2023 financial results. "We delivered solid first-quarter results, representing steady progress with our transformation," said Pat Gelsinger, Intel CEO. "We hit key execution milestones in our data center roadmap and demonstrated the health of the process technology underpinning it. While we remain cautious on the macroeconomic outlook, we are focused on what we can control as we deliver on IDM 2.0: driving consistent execution across process and product roadmaps and advancing our foundry business to best position us to capitalize on the $1 trillion market opportunity ahead."

David Zinsner, Intel CFO, said, "We exceeded our first-quarter expectations on the top and bottom line, and continued to be disciplined on expense management as part of our commitment to drive efficiencies and cost savings. At the same time, we are prioritizing the investments needed to advance our strategy and establish an internal foundry model, one of the most consequential steps we are taking to deliver on IDM 2.0."

Intel Foundry and Arm Announce Multigeneration Collaboration on Leading-Edge SoC Design

Intel Foundry Services (IFS) and Arm today announced a multigeneration agreement to enable chip designers to build low-power compute system-on-chips (SoCs) on the Intel 18A process. The collaboration will focus on mobile SoC designs first, but allow for potential design expansion into automotive, Internet of Things (IoT), data center, aerospace and government applications. Arm customers designing their next-generation mobile SoCs will benefit from leading-edge Intel 18A process technology, which delivers new breakthrough transistor technologies for improved power and performance, and from IFS's robust manufacturing footprint that includes U.S.- and EU-based capacity.

"There is growing demand for computing power driven by the digitization of everything, but until now fabless customers have had limited options for designing around the most advanced mobile technology," said Pat Gelsinger, CEO of Intel Corporation. "Intel's collaboration with Arm will expand the market opportunity for IFS and open up new options and approaches for any fabless company that wants to access best-in-class CPU IP and the power of an open system foundry with leading-edge process technology."

Intel Appoints Stuart Pann to Lead Intel Foundry Services

Intel Corporation today announced the appointment of Stuart Pann as senior vice president and general manager of Intel Foundry Services (IFS), Intel's commercial foundry business. Pann will report to Intel CEO Pat Gelsinger and drive continued growth for IFS and its differentiated systems foundry offering, which goes beyond traditional wafer fabrication to include packaging, chiplet standards and software, as well as U.S.- and Europe-based capacity.

"The industry is responding well to our systems foundry approach and we're seeing strong momentum, including the recent announcement of a significant cloud, edge and data center solutions provider as a customer for our upcoming Intel 3 process," said Gelsinger. "With deep expertise in capital and capacity strategies, supply chain management, and sales and operations planning across internal and external manufacturing, Stuart is an ideal leader to accelerate this momentum and drive long-term growth for IFS."

Intel 20A and 18A Foundry Nodes Complete Development Phase, On Track for 2024 Manufacturing

Intel Foundry Services, the in-house semiconductor foundry of Intel, announced that its 2 nm-class Intel 20A and 1.8 nm-class Intel 18A foundry nodes have completed development, and are on course for mass-producing chips on their roadmap dates. Chips are expected to begin mass-production on the Intel 20A node in the first half of 2024, while those on the Intel 18A node are expected to begin in the second half of 2024. The completion of the development phase means that Intel has finalized the specifications and performance/power targets of the nodes, the tools and software required to make the chips, and can now begin ordering them to build the nodes. Intel has been testing these nodes through 2022, and with the specs being finalized, chip-designers can accordingly wrap up development of their products to align with what these nodes have to offer.

Intel 20A (or 20-angstrom, or 2 nm) node introduces gates-all-around (GAA) RibbonFET transistors with PowerVIAs (an interconnect innovation that contributes to transistor densities). The Intel 20A node is claimed to offer a 15% performance/Watt gain over its predecessor, the Intel 3 node (FinFET EUV, 3 nm-class), which by itself offers an 18% performance/Watt gain over Intel 4 (20% perf/Watt gain over the current Intel 7 node), the node that is entering mass-production very soon. The Intel 18A node is a further refinement of Intel 20A, and introduces a design improvement to the RibbonFET that increases transistor density at scale, and a claimed 10% performance/Watt improvement over Intel 20A.

Intel Foundry Services Allegedly Working on Test Chips for 43 Potential Customers

A new story is making the rounds, citing Wang Rui, chair Intel China, in the media in both China and Taiwan, claiming that Intel is working on test chips for as many as 43 potential customers for Intel Foundry Services (IFS). At least seven of those potential customers are said to be from the top 10 foundry clients globally. This sounds a bit too good to be true, considering that IFS has as yet to prove that they can deliver on their promises.

Furthermore, Wang Rui is meant to have gone on record, saying that IFS has taped out products on both its 20A and 18A nodes. Exactly what these products are, wasn't divulged, but as the 18A node isn't expected to go into mass production until the second half of 2024, this sounds a little bit too good to be true. What makes this even less believable is that the Intel 4 node is only set to go into mass production in the second half this year and before Intel moves to its Ångström nodes, the company still has to deliver on its Intel 3 node. The Intel China chair is also reportedly confident that Intel will be returning to a leading foundry position by 2025.

Intel Slashes Dividend By Two-Thirds, Updates Capital Allocation

Intel Corporation today announced that its board of directors has reset its dividend policy, reducing the quarterly dividend to $0.125 per share (or $0.50 annually) on the company's common stock. The dividend will be payable on June 1, 2023, to stockholders of record on May 7, 2023. Intel also reaffirmed its first-quarter 2023 business outlook provided at its most recent earnings call, including revenue of between $10.5 billion and $11.5 billion; gross margin of 34.1% on a GAAP basis and 39% on a non-GAAP basis; tax rate of (84%) on a GAAP basis and 13% on a non-GAAP basis; and earnings per share of $(0.80) on a GAAP basis and $(0.15) on a non-GAAP basis.

The decision to decrease the quarterly dividend reflects the board's deliberate approach to capital allocation and is designed to best position the company to create long-term value. The improved financial flexibility will support the critical investments needed to execute Intel's transformation during this period of macroeconomic uncertainty. Since first initiated in 1992, Intel's dividend has delivered more than $80 billion in cash returns to the company's stockholders, and the board is committed to maintaining a competitive dividend.

Intel Foundry Services Onboards a Fabless Customer, Deal Expected to Fetch over $4 Billion

Intel Foundry Services, the semiconductor foundry business of Intel, has onboarded an undisclosed fabless customer, the company disclosed in its Q4-2022 Financial Results presentation. This signals that the company wants to serve the semiconductor manufacturing industry beyond its own products, and scale up to demands, just like TSMC, UMC, Samsung Foundry, or other such semiconductor foundries do. The customer is looking to build chips on the Intel 3 foundry-node, which is rumored to offer performance/Watt and transistor-density figures comparable to TSMC 4N (4 nm EUV). Intel will extensively use Tower Semiconductor's silicon fabrication IP in the deal. Throughout its manufacturing lifecycle (from risk production to mass-production and completion), the deal is expected by Intel to generate over $4 billion in revenue for the company.
Image Courtesy: VideoCardz

SiFive Reveals HiFive Pro P550 RISC-V Development Platform in microATX Form Factor

Back in February 2022 SiFive announced its partnership with Intel Foundry Services (IFS), to bring its "Horse Creek" SoC to market and now SiFive has announced that it's getting ready to launch its first development board on said SoC. This summer, SiFive will launch the HiFive Pro P550 development board, which will kick things up a serious notch when it comes to embedded SoC development boards, regardless of the CPU core the SoC is built around. The HiFive Pro P550 will be one of few microATX based embedded SoC development boards out there and so far, to our knowledge, the only one with a RISC-V based SoC. The Horse Creek SoC sports quad core, 2.2 GHz, 13-stage, triple-issue, out-of-order pipeline RISC-V RV64GBC CPU built on the Intel 4 node. The SoC also has a DDR5 5600 MHz memory interface, support for eight lanes of PCIe 5.0 and comes in a 19 x 19 mm FBGA package.

The HiFive Pro P550 will offer 16 GB of DDR5 memory, but based on the render of the motherboard, this is soldered to the board, rather than relying on standard DDR5 DIMMs. Furthermore, the board has two x16 PCIe 3.0 expansion slots, although it's unclear how many PCIe each slot features, as well as a PCIe 3.0 M.2 2280 M-key slot for NVMe SSDs and a PCIe 3.0 M.2 E-key slot for a WiFi/Bluetooth module. The board also sports multiple USB/USB 3.0 ports and even a pair of USB-C ports. The press release also mentions both Gigabit and 10 Gbps Ethernet support, as well as support for onboard graphics and remote system management, without going into any further details. It'll be interesting to see if the Horse Creek SoC can deliver on its expected performance target, especially as SiFive has a lot to prove, especially as the company calls the RISC-V architecture inevitable.

Intel Embraces an Internal Foundry Model

Intel CEO Pat Gelsinger sent the following statement announcing creation of an internal foundry model for external customers and Intel product lines and the creation of the IDM 2.0 Acceleration Office, under the leadership of Stuart Pann, to all company employees on Oct. 11: When I returned to Intel in 2021, I introduced our IDM 2.0 strategy: a multiyear journey to regain unquestioned technology leadership, manufacturing scale and long-term growth. Today, we begin the next phase of our IDM 2.0 journey.

In the first phase of our transformation, we made significant progress on our process roadmaps and capacity. We remain on track to deliver five process nodes in four years, and we've invested in the capacity required to meet the industry's demand for semiconductors, bringing much-needed balance to the global supply chain.
The next phase of our IDM 2.0 journey requires a fundamental shift in mindset. We must embrace an internal foundry model, not only for our external customer commitments but also for our Intel product lines. This is a significant evolution in how we think and operate as a company, but the systems and infrastructure that served us well in the IDM 1.0 world will not enable us to achieve the full potential of IDM 2.0.

Intel Breaks Ground in the Silicon Heartland

Today, President Joe Biden joins Intel, Ohio Gov. Mike DeWine and other federal, state and local officials to celebrate breaking ground in the Silicon Heartland on two of the world's most advanced chipmaking facilities. As part of Intel's commitment to develop a skilled talent pipeline for its two new leading-edge chip fabs, Intel also announced the first phase of funding for its Ohio Semiconductor Education and Research Program. During this first phase, Intel is providing $17.7 million for eight proposals from leading institutions and collaborators in Ohio to develop semiconductor-focused education and workforce programs.

"Today marks a pivotal moment in the journey to build a more geographically balanced and resilient semiconductor supply chain. The establishment of the Silicon Heartland is testament to the power of government incentives to unlock private investment, create thousands of high-paying jobs, and benefit U.S. economic and national security. We would not be here today without the support of leaders in the administration, Congress and the state of Ohio, who share a vision to help restore the United States to its rightful place as a leader in advanced chipmaking," said Pat Gelsinger, Intel CEO.

Intel Introduces First-of-its-Kind Semiconductor Co-Investment Program

Intel Corporation today announced a first-of-its-kind Semiconductor Co-Investment Program (SCIP) that introduces a new funding model to the capital-intensive semiconductor industry. As part of its program, Intel has signed a definitive agreement with the infrastructure affiliate of Brookfield Asset Management, one of the largest global alternative asset managers, which will provide Intel with a new, expanded pool of capital for manufacturing build-outs.

SCIP is a key element of Intel's Smart Capital approach, which aims to provide innovative ways to fund growth while creating further financial flexibility to accelerate the company's IDM 2.0 strategy. Intel's agreement with Brookfield follows the two companies' memorandum of understanding announced in February 2022. Under the terms of the agreement, the companies will jointly invest up to $30 billion in Intel's previously announced manufacturing expansion at its Ocotillo campus in Chandler, Arizona, with Intel funding 51% and Brookfield funding 49% of the total project cost. Intel will retain majority ownership and operating control of the two new leading-edge chip factories in Chandler, which will support long-term demand for Intel's products and provide capacity for Intel Foundry Services (IFS) customers. The transaction with Brookfield is expected to close by the end of 2022, subject to customary closing conditions.

Intel and MediaTek Form Foundry Partnership

Intel and MediaTek today announced a strategic partnership to manufacture chips using Intel Foundry Services' (IFS) advanced process technologies. The agreement is designed to help MediaTek build a more balanced, resilient supply chain through the addition of a new foundry partner with significant capacity in the United States and Europe. MediaTek plans to use Intel process technologies to manufacture multiple chips for a range of smart edge devices. IFS offers a broad manufacturing platform with technologies optimized for high performance, low power and always-on connectivity built on a roadmap that spans production-proven three-dimensional FinFET transistors to next-generation breakthroughs.

"As one of the world's leading fabless chip designers powering more than 2 billion devices a year, MediaTek is a terrific partner for IFS as we enter our next phase of growth," said IFS President Randhir Thakur. "We have the right combination of advanced process technology and geographically diverse capacity to help MediaTek deliver the next billion connected devices across a range of applications."

Microsoft Azure Joins Intel Foundry Services Cloud Alliance

The recent semiconductor shortage has put an unprecedented amount of focus on the industry. Both commercial and government entities have come to recognize the lack of advanced node semiconductor manufacturing capabilities onshore in the United States. Intel Foundry Services (IFS) entry into the commercial foundry space is poised to change all that. As part of IFS Accelerator program, Intel recently announced their new IFS Cloud Alliance program, with Microsoft Azure as one of the inaugural members.

This is the latest chapter in a partnership between Intel and Microsoft that stretches back decades all the way back to the early days of the personal computer. In the last few years, Intel and Microsoft have collaborated on advancing semiconductor design on the cloud by working together to bring out EDA centric cloud compute such as the FX series on Azure, working with EDA vendors to enhance their software to better take advantage of the elasticity of the Azure cloud, as well as collaborating on a secure cloud-based semiconductor development platform for the US Department of Defense RAMP and RAMP-C programs.

Intel Highlights 2022 and Long-Term Growth Strategy at Investor Meeting

Intel on Thursday (17/02/2022), hosted its 2022 Investor Meeting and outlined key elements of the company's strategy and path to long-term growth during an era of unprecedented demand for semiconductors. The event included a series of announcements at both a corporate and individual business unit level, including more details of the company's Smart Capital strategy, product roadmaps across its new reporting segments and key execution milestones.

"The continued proliferation of technology is driving sustained, long-term demand for semiconductors, creating a $1 trillion market opportunity by 2030," said Pat Gelsinger, Intel chief executive officer. "With that opportunity in mind, today we outlined our strategy and roadmap for accelerating to 10%-12% year-over-year revenue growth by 2026 by doubling down on innovation, driving even deeper collaboration with our customers and partners, and leveraging our core strengths to successfully grow traditional markets and disrupt new ones. Our goals are ambitious, but I'm confident we have the right strategy and right team to achieve them and to deliver long-term value for our shareholders."

Intel Updates Technology Roadmap with Data Center Processors and Game Streaming Service

At Intel's 2022 Investor Meeting, Chief Executive Officer Pat Gelsinger and Intel's business leaders outlined key elements of the company's strategy and path for long-term growth. Intel's long-term plans will capitalize on transformative growth during an era of unprecedented demand for semiconductors. Among the presentations, Intel announced product roadmaps across its major business units and key execution milestones, including: Accelerated Computing Systems and Graphics, Intel Foundry Services, Software and Advanced Technology, Network and Edge, Technology Development, More: For more from Intel's Investor Meeting 2022, including the presentations and news, please visit the Intel Newsroom and Intel.com's Investor Meeting site.

SiFive Partners with Intel to Spark Innovation in High-Performance RISC-V Platforms

SiFive, Inc., the founder and leader of RISC-V computing, today announced the company will support Intel Foundry Services (IFS) innovation fund's goal to build innovative new RISC-V computing platforms optimized for Intel process technology. The $1B Intel fund will support the creation of disruptive technologies to address modern computing challenges, with the Intel-SiFive collaboration aiming to extend the RISC-V ecosystem. Compute blocks in future silicon chips, optimized for specific classes of workloads, require a vibrant market of semiconductor IP that is further enabled by SiFive's leading RISC-V processor IP optimized and available to customers of IFS. The open nature of the RISC-V instruction set architecture creates freedom to innovate, with specifications and extensions developed by expert contributors from leaders in the semiconductor industry, research institutions, and academia.

SiFive has partnered with IFS to develop a RISC-V development platform, codenamed "Horse Creek," featuring a multi-core SiFive Performance P550 processor, and implemented on the Intel 4 technology platform, on track for availability in 2022. The "Horse Creek" SoC will enable a new generation of RISC-V developer boards, continuing the tradition of SiFive HiFive boards that have helped drive the growth of the RISC-V ecosystem. To be informed of updates on the "Horse Creek" RISC-V developer board, please register here.

IDM 2.0: Intel Announces $1 Billion Investment for Disruptive Startups Working with x86, ARM and RISC-V ISAs

As part of its IDM 2.0 (Integrated Device Manufacturer) plan, Intel has announced it has setup a $1 Billion fund to support early-stage startups and established companies building disruptive technologies for the foundry ecosystem. A collaboration between Intel Capital and Intel Foundry Services (IFS), the move aims to capitalize on what Intel sees as the future of the industry: with a focus on an Open Chiplet platform and Open Interconnect Standard, Intel is looking to enable partners to deploy packaging technologies that bring together multiple ISAs (Instruction Set Architectures) within the same chip. The idea is simple: customers will be looking to mix and match several IPs on their semiconductor designs, taking advantage of different strengths (particularly in the power/performance/area equation) from each.
Foundry customers are rapidly embracing a modular design approach to differentiate their products and accelerate time to market. Intel Foundry Services is well-positioned to lead this major industry inflection. With our new investment fund and open chiplet platform, we can help drive the ecosystem to develop disruptive technologies across the full spectrum of chip architectures.

Pat Gelsinger, Intel CEO

Intel Announces Intent to Take Mobileye Public

With the full support of Intel's board of directors, Intel today announced its intention to take Mobileye public in the United States in mid-2022 via an initial public offering (IPO) of newly issued Mobileye stock. The move will unlock the value of Mobileye for Intel shareholders by creating a separate publicly traded company and will build on Mobileye's successful track record and serve its expanded market.

Intel will remain the majority owner of Mobileye, and the two companies will continue as strategic partners, collaborating on projects as they pursue the growth of computing in the automotive sector. The share of semiconductors is expected to be 20% of a premium vehicle's total bill-of-materials (BOM) by 20301. The Mobileye executive team will remain, with Prof. Amnon Shashua continuing as the company's CEO. Recently acquired Moovit as well as Intel teams working on lidar and radar development and other Mobileye projects will be aligned as part of Mobileye.

Intel Reports Third-Quarter 2021 Financial Results

Intel Corporation today reported third-quarter 2021 financial results. "Q3 shone an even greater spotlight on the global demand for semiconductors, where Intel has the unique breadth and scale to lead. Our focus on execution continued as we started delivering on our IDM 2.0 commitments. We broke ground on new fabs, shared our accelerated path to regain process performance leadership, and unveiled our most dramatic architectural innovations in a decade. We also announced major customer wins across every part of our business," said Pat Gelsinger, Intel CEO. "We are still in the early stages of our journey, but I see the enormous opportunity ahead, and I couldn't be prouder of the progress we are making towards that opportunity."

In the third quarter, the company generated $9.9 billion in cash from operations and paid dividends of $1.4 billion. Intel CFO George Davis announced plans to retire from Intel in May 2022. He will continue to serve in his current role while Intel conducts a search for a new CFO and until his successor is appointed. Third-quarter revenue was led by strong recovery in the Enterprise portion of DCG and in IOTG, which saw higher demand amid recovery from the economic impacts of COVID-19. The Client Computing Group (CCG) was down due to lower notebook volumes due to industry-wide component shortages, and on lower adjacent revenue, partially offset by higher average selling prices (ASPs) and strength in desktop.

Intel Wins US Government Project to Develop Leading-Edge Foundry Ecosystem

The U.S. Department of Defense, through the NSTXL consortium-based S2MARTS OTA, has awarded Intel an agreement to provide commercial foundry services in the first phase of its multi-phase Rapid Assured Microelectronics Prototypes - Commercial (RAMP-C) program. The RAMP-C program was created to facilitate the use of a U.S.-based commercial semiconductor foundry ecosystem to fabricate the assured leading-edge custom and integrated circuits and commercial products required for critical Department of Defense systems. Intel Foundry Services, Intel's dedicated foundry business launched this year, will lead the work.

"One of the most profound lessons of the past year is the strategic importance of semiconductors, and the value to the United States of having a strong domestic semiconductor industry. Intel is the sole American company both designing and manufacturing logic semiconductors at the leading edge of technology. When we launched Intel Foundry Services earlier this year, we were excited to have the opportunity to make our capabilities available to a wider range of partners, including in the U.S. government, and it is great to see that potential being fulfilled through programs like RAMP-C." -Pat Gelsinger, Intel CEO.

TSMC Looking to Build a Fab in Germany

TSMC, as part of its strategy to build cutting-edge semiconductor foundries in the US and EU, is looking to build a ground-up fab in Germany. The company's chairman, Mark Liu, made an announcement to this effect in the company's annual general meeting (AGM), addressing shareholders, held on July 26. This move is still in its "early stages," according to a DigiTimes report, with the company prospecting a suitable site across the country. The size and scale of TSMC's investment remains under the wraps.

TSMC's expedition to Germany aligns with an ambitious plan by the European Commission to make the EU a net-exporter of semiconductors and electronics by 2030. TSMC will have Intel Foundry Services for company in Germany, as an acquisition of Global Foundries would put Intel in control of its real-estate in Dresden. Intel is still prospecting the EU for a suitable place to invest €20 billion, besides ongoing investments in states such as the Republic of Ireland.
Return to Keyword Browsing
May 21st, 2024 14:09 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts