• Welcome to TechPowerUp Forums, Guest! Please check out our forum guidelines for info related to our community.

Intel 20A Node Cancelled for Foundry Customers, "Arrow Lake" Mainly Manufactured Externally

Joined
Mar 11, 2024
Messages
31 (0.17/day)
Lithographic nodes, have reached a size beyond which reduction will primarily lead to an increase in manufacturing defects. The right way is to stop the race to scale down and work on architectural improvements to the compute lines. Gateway width, more gateways, more and smart gates, more efficient predictors. Bigger and smart caches.
You should apply to be CTO of NVidia
 
Joined
Feb 3, 2017
Messages
3,650 (1.31/day)
Processor Ryzen 7800X3D
Motherboard ROG STRIX B650E-F GAMING WIFI
Memory 2x16GB G.Skill Flare X5 DDR5-6000 CL36 (F5-6000J3636F16GX2-FX5)
Video Card(s) INNO3D GeForce RTX™ 4070 Ti SUPER TWIN X2
Storage 2TB Samsung 980 PRO, 4TB WD Black SN850X
Display(s) 42" LG C2 OLED, 27" ASUS PG279Q
Case Thermaltake Core P5
Power Supply Fractal Design Ion+ Platinum 760W
Mouse Corsair Dark Core RGB Pro SE
Keyboard Corsair K100 RGB
VR HMD HTC Vive Cosmos
The think is that their 7nm (Intel 4) is garbage, it has 120 MTr/mm2, for comparison, their 10nm (intel 7) is 100 MTr/mm2. No wonder it's marginal better than their polished 10nm. Now lets see the TSMC 3nm, that will be used for Arrow and Lunar Lake - 200-220 MTr/mm2 and their mythical 18a won't be more than 160 MTr/mm2, 180 at most and it will be ready for 2026. They will release Arrow Lake in the end of 2024, refresh it with the same TSMC node in 2025 (Arrow Lake refresh) and when they are ready to release whatever is real upgrade over Arrow Lake, it will be in 2026 and how you see them to downgrade from 220 MTr/mm2 to their miserable 160 MTr/mm2? They won't, they will go again for the next TSMC node. Their fabs are so behind, that its no longer cost effective to build their own CPUs here, because this will hurt the performance and the competitiveness
You are comparing different things here. Intel 4 and TSMC N3 are roughly in the same ballpark for high performance cells. TSMC N3 has the high-density variation has the higher density but obviously with performance implications. If they have a problem it is somewhere in manufacturing.
 
Joined
Aug 10, 2020
Messages
217 (0.15/day)
So is Lunar Lake and Arrow Lake both TSMC N4 like the rest of the top chips use?

Better question is, Arrow Lake when?
 
Joined
Nov 13, 2007
Messages
10,514 (1.71/day)
Location
Austin Texas
Processor 13700KF Undervolted @ 5.4, 4.8Ghz Ring 190W PL1
Motherboard MSI 690-I PRO
Cooling Thermalright Phantom Spirit EVO
Memory 48 GB DDR5 7600 MHZ CL36
Video Card(s) RTX 4090 FE
Storage 2TB WD SN850, 4TB WD SN850X
Display(s) Alienware 32" 4k 240hz OLED
Case Jonsbo Z20
Audio Device(s) Yes
Power Supply Corsair SF750
Mouse Xlite V2
Keyboard 65% HE Keyboard
Software Windows 11
Benchmark Scores They're pretty good, nothing crazy.
The think is that their 7nm (Intel 4) is garbage, it has 120 MTr/mm2, for comparison, their 10nm (intel 7) is 100 MTr/mm2. No wonder it's marginal better than their polished 10nm. Now lets see the TSMC 3nm, that will be used for Arrow and Lunar Lake - 200-220 MTr/mm2 and their mythical 18a won't be more than 160 MTr/mm2, 180 at most and it will be ready for 2026. They will release Arrow Lake in the end of 2024, refresh it with the same TSMC node in 2025 (Arrow Lake refresh) and when they are ready to release whatever is real upgrade over Arrow Lake, it will be in 2026 and how you see them to downgrade from 220 MTr/mm2 to their miserable 160 MTr/mm2? They won't, they will go again for the next TSMC node. Their fabs are so behind, that its no longer cost effective to build their own CPUs here, because this will hurt the performance and the competitiveness
Right but I doubt the USA will care to let the last even remotely competitive fab fall over and rely solely on TSMC.

I can see tax breaks and incentives in the future to pump these fabs.
 
Joined
Apr 26, 2023
Messages
107 (0.21/day)
The think is that their 7nm (Intel 4) is garbage, it has 120 MTr/mm2, for comparison, their 10nm (intel 7) is 100 MTr/mm2. No wonder it's marginal better than their polished 10nm. Now lets see the TSMC 3nm, that will be used for Arrow and Lunar Lake - 200-220 MTr/mm2 and their mythical 18a won't be more than 160 MTr/mm2, 180 at most and it will be ready for 2026. They will release Arrow Lake in the end of 2024, refresh it with the same TSMC node in 2025 (Arrow Lake refresh) and when they are ready to release whatever is real upgrade over Arrow Lake, it will be in 2026 and how you see them to downgrade from 220 MTr/mm2 to their miserable 160 MTr/mm2? They won't, they will go again for the next TSMC node. Their fabs are so behind, that its no longer cost effective to build their own CPUs here, because this will hurt the performance and the competitiveness
Yes. But if manufacturing in their fabs their own chips is not viable and there are not a lot of contracting customers what is the point of spending bilions on the next nodes.
 
Joined
May 13, 2010
Messages
5,968 (1.14/day)
System Name RemixedBeast-NX
Processor Intel Xeon E5-2690 @ 2.9Ghz (8C/16T)
Motherboard Dell Inc. 08HPGT (CPU 1)
Cooling Dell Standard
Memory 24GB ECC
Video Card(s) Gigabyte Nvidia RTX2060 6GB
Storage 2TB Samsung 860 EVO SSD//2TB WD Black HDD
Display(s) Samsung SyncMaster P2350 23in @ 1920x1080 + Dell E2013H 20 in @1600x900
Case Dell Precision T3600 Chassis
Audio Device(s) Beyerdynamic DT770 Pro 80 // Fiio E7 Amp/DAC
Power Supply 630w Dell T3600 PSU
Mouse Logitech G700s/G502
Keyboard Logitech K740
Software Linux Mint 20
Benchmark Scores Network: APs: Cisco Meraki MR32, Ubiquiti Unifi AP-AC-LR and Lite Router/Sw:Meraki MX64 MS220-8P
It is not his fault, but shareholders will demand someones head and CEO is natural choice. He hasn't caused these problems, but he was hired to fix Intel and he hasn't.
he's praying on twitter but God hasn't answered his prayers yet. He should have followed God's lead and became a preacher but he's the CEO of a CPU company instead
 
Joined
Feb 3, 2017
Messages
3,650 (1.31/day)
Processor Ryzen 7800X3D
Motherboard ROG STRIX B650E-F GAMING WIFI
Memory 2x16GB G.Skill Flare X5 DDR5-6000 CL36 (F5-6000J3636F16GX2-FX5)
Video Card(s) INNO3D GeForce RTX™ 4070 Ti SUPER TWIN X2
Storage 2TB Samsung 980 PRO, 4TB WD Black SN850X
Display(s) 42" LG C2 OLED, 27" ASUS PG279Q
Case Thermaltake Core P5
Power Supply Fractal Design Ion+ Platinum 760W
Mouse Corsair Dark Core RGB Pro SE
Keyboard Corsair K100 RGB
VR HMD HTC Vive Cosmos
If Arrow Lake is still coming out in a month, then it was always all TSMC. No way you can switch nodes last minute without massive delays.

Arrow Lake P cores on Intel 20A was another lie.
Depends on what that "primarily" means. In terms of chiplet count and area it has always been mostly from TSMC. CPU chiplet is quite small as well.
This announcement is from the Foundry group and saying 20A is cancelled for Foundry customers does not necessarily mean Intel themselves does not use it.
 
Joined
Dec 1, 2020
Messages
406 (0.29/day)
Processor Ryzen 5 7600X
Motherboard ASRock B650M PG Riptide
Cooling Noctua NH-D15
Memory DDR5 6000Mhz CL28 32GB
Video Card(s) Nvidia Geforce RTX 3070 Palit GamingPro OC
Storage Corsair MP600 Force Series Gen.4 1TB
Yes. But if manufacturing in their fabs their own chips is not viable and there are not a lot of contracting customers what is the point of spending bilions on the next nodes.
Its just too late to leave the semiconductor business, I don't think they can leave now with all taxpayers funds, they burned for broken nodes
 
Joined
Jan 11, 2022
Messages
712 (0.73/day)
They just stack all the cash the US has been pumping into them and burn it Joker style? I don’t think I’ve heard a single positive thing about Intel’s foundries for quite some time now.
They have been building fabs with that money, those fabs aren't online yet.

it's the main reason I think it's very likely that if sh*t really hits the fan the government will bail them out and in time they will be OK.
(As those fabs without intel it self as the main customer is pointless)
 
Joined
Dec 31, 2020
Messages
900 (0.67/day)
System Name Yes
Processor E5-2690 v4
Motherboard VEINEDA X99
Video Card(s) 2080 Ti
Storage NE-512 KingSpec
Display(s) G27Q
Case DAOTECH X9
Power Supply SF450
So is Lunar Lake and Arrow Lake both TSMC N4 like the rest of the top chips use?

Better question is, Arrow Lake when?

N3B and this is the best node for the time being, 56% better than N4 in logic density. SRAM cell on the other hand has reached a wall, not shrinking. N2 is only 16% more dense. A16 another 10%.

Arrow lake just around the corner, but expensive.
 
Joined
Dec 12, 2016
Messages
1,612 (0.57/day)
Depends on what that "primarily" means. In terms of chiplet count and area it has always been mostly from TSMC. CPU chiplet is quite small as well.
This announcement is from the Foundry group and saying 20A is cancelled for Foundry customers does not necessarily mean Intel themselves does not use it.
The article specifically mentions Arrow Lake on external processes and just packaged by IFS.
 
Joined
Apr 26, 2023
Messages
107 (0.21/day)
They have been building fabs with that money, those fabs aren't online yet.

it's the main reason I think it's very likely that if sh*t really hits the fan the government will bail them out and in time they will be OK.
(As those fabs without intel it self as the main customer is pointless)
So they will be pointless as Intel will be TSMC customer.
 
Joined
Aug 12, 2010
Messages
95 (0.02/day)
Location
Brazil
Processor Ryzen 7 7800X3D
Motherboard ASRock B650M PG Riptide
Cooling Wraith Max + 2x Noctua Redux NF-P12 / 1x NF-B9 / 1x NF-R8
Memory 2x16GB ADATA XPG Lancer Blade DDR5-6000 CL30
Video Card(s) Powercolor RX 7800 XT Fighter OC
Storage ADATA Legend 970 2TB PCIe 5.0
Display(s) Dell 32" S3222DGM - 1440P 165Hz + P2422H
Case Riotoro CR1088
Audio Device(s) Microsoft Xbox TLL-00008
Power Supply Cooler Master MWE 750 V2
Mouse Alienware AW320M
Keyboard Alienware AW510K
Software Windows 11 Pro
Guess TSMC is running to the bank with Intel's money.
 
Joined
Jan 27, 2015
Messages
1,702 (0.48/day)
System Name Legion
Processor i7-12700KF
Motherboard Asus Z690-Plus TUF Gaming WiFi D5
Cooling Arctic Liquid Freezer 2 240mm AIO
Memory PNY MAKO DDR5-6000 C36-36-36-76
Video Card(s) PowerColor Hellhound 6700 XT 12GB
Storage WD SN770 512GB m.2, Samsung 980 Pro m.2 2TB
Display(s) Acer K272HUL 1440p / 34" MSI MAG341CQ 3440x1440
Case Montech Air X
Power Supply Corsair CX750M
Mouse Logitech MX Anywhere 25
Keyboard Logitech MX Keys
Software Lots
It wasn't making much sense to be developing two almost identical nodes at the same time, expect if Intel wasn't sure that 18A will succeed and had 20A as a back up plan. Probably 18A is doing well enough for them to not need to keep throwing money at 20A node.
That's the good scenario.

The bad scenario takes in consideration the fact, Broadcom not being enthusiastic about Intel's manufacturing in it's current phase and also seeing Intel "relying on external partners for Arrow Lake production" instead of moving it to it's own 18A manufacturing node. That probably means that Intel, not just Broadcom, is still unsure about 18A quality or scheduling. Until Intel comes out with a mass producing product at 18A, their manufacturing will continue being a huge question mark, years behind TSMC and even Samsung.

That isn't the way it works, though people who have only looked at node names in a quick and cursory way wouldn't know.

Keep in mind, node names are marketing gimmicks.

20A and 18A are essentially the same node, but 18A is mostly a newer set of 'libraries' for development combined with smaller what I would call 'trace' lines. Libraries in this context refers to how components are arranged.

20A and 18A have a similar relationship to each as N3, N3E, N3B have to each other, or a better analogy is probably N5 vs N4.

The same is true of Intel 3 and Intel 4.

Also of note, 20A was specifically just for internal use by Intel. The same is true of Intel 4, it was just for internal designs.

18A and Intel 3 are IFS nodes, meaning for use both internally and externally.
 
Joined
Mar 11, 2024
Messages
31 (0.17/day)
They have been building fabs with that money, those fabs aren't online yet.

it's the main reason I think it's very likely that if sh*t really hits the fan the government will bail them out and in time they will be OK.
(As those fabs without intel it self as the main customer is pointless)
If I had 500k free, they would go to INTC
 
Joined
Mar 6, 2018
Messages
125 (0.05/day)
You are comparing different things here. Intel 4 and TSMC N3 are roughly in the same ballpark for high performance cells. TSMC N3 has the high-density variation has the higher density but obviously with performance implications. If they have a problem it is somewhere in manufacturing.
The real difference is one is in very high volume manufacturing and one is on paper.
 
Joined
Aug 25, 2021
Messages
1,112 (1.00/day)
What would shareholders say to slogan "5 nodes in 4 years" when one of those nodes is cancelled? Another law suit?
 
Joined
Jan 27, 2015
Messages
1,702 (0.48/day)
System Name Legion
Processor i7-12700KF
Motherboard Asus Z690-Plus TUF Gaming WiFi D5
Cooling Arctic Liquid Freezer 2 240mm AIO
Memory PNY MAKO DDR5-6000 C36-36-36-76
Video Card(s) PowerColor Hellhound 6700 XT 12GB
Storage WD SN770 512GB m.2, Samsung 980 Pro m.2 2TB
Display(s) Acer K272HUL 1440p / 34" MSI MAG341CQ 3440x1440
Case Montech Air X
Power Supply Corsair CX750M
Mouse Logitech MX Anywhere 25
Keyboard Logitech MX Keys
Software Lots
The real difference is one is in very high volume manufacturing and one is on paper.

Well that's one way you can demonstrate your complete ignorance.

Meteor Lake is using Intel 4.


Moreover, Granite Rapids and Sierra Forest are on Intel 3.



1725567752234.png
 
Joined
Apr 26, 2023
Messages
107 (0.21/day)
Well that's one way you can demonstrate your complete ignorance.

Meteor Lake is using Intel 4.


Moreover, Granite Rapids and Sierra Forest are on Intel 3.



View attachment 362181
Meteor Lake is not produced in high volumes and it only has compute tile made on Intel 4. Granite Rapids is not produced in high quantities either and while they have large tiles, they run at rather low frequency and there is a lot of versions with more or less cores so they can do binning. The are no other plans to use Intel 4 and 3. I think Meteor Lake will be quickly replaced by Lunar Lake. These nodes will never return what Intel invested in them.
 
Top