News Posts matching #Intel 4

Return to Keyword Browsing

Intel Builds World's Largest Neuromorphic System to Enable More Sustainable AI

Today, Intel announced that it has built the world's largest neuromorphic system. Code-named Hala Point, this large-scale neuromorphic system, initially deployed at Sandia National Laboratories, utilizes Intel's Loihi 2 processor, aims at supporting research for future brain-inspired artificial intelligence (AI), and tackles challenges related to the efficiency and sustainability of today's AI. Hala Point advances Intel's first-generation large-scale research system, Pohoiki Springs, with architectural improvements to achieve over 10 times more neuron capacity and up to 12 times higher performance.

"The computing cost of today's AI models is rising at unsustainable rates. The industry needs fundamentally new approaches capable of scaling. For that reason, we developed Hala Point, which combines deep learning efficiency with novel brain-inspired learning and optimization capabilities. We hope that research with Hala Point will advance the efficiency and adaptability of large-scale AI technology." -Mike Davies, director of the Neuromorphic Computing Lab at Intel Labs

Intel Lunar Lake Chiplet Arrangement Sees Fewer Tiles—Compute and SoC

Intel Core Ultra "Lunar Lake-MX" will be the company's bulwark against Apple's M-series Pro and Max chips, designed to power the next crop of performance ultraportables. The MX codename extension denotes MoP (memory-on-package), which sees stacked LPDDR5X memory chips share the package's fiberglass substrate with the chip, to conserve PCB footprint, and give Intel greater control over the right kind of memory speed, timings, and power-management features suited to its microarchitecture. This is essentially what Apple does with its M-series SoCs powering its MacBooks and iPad Pros. Igor's Lab scored the motherlode on the way Intel has restructured the various components across its chiplets, and the various I/O wired to the package.

When compared to "Meteor Lake," the "Lunar Lake" microarchitecture sees a small amount of "re-aggregation" of the various logic-heavy components of the processor. On "Meteor Lake," the CPU cores and the iGPU sat on separate tiles—Compute tile and Graphics tile, respectively, with a large SoC tile sitting between them, and a smaller I/O tile that serves as an extension of the SoC tile. All four tiles sat on top of a Foveros base tile, which is essentially an interposer—a silicon die that facilitates high-density microscopic wiring between the various tiles that are placed on top of it. With "Lunar Lake," there are only two tiles—the Compute tile, and the SoC tile.

Report: Intel Seeks $2 Billion in Funding for Ireland Fab 34 Expansion

According to a Bloomberg report, Intel is seeking to raise at least $2 billion in equity funding from investors for expanding its fabrication facility in Leixlip, Ireland, known as Fab 34. The chipmaker has hired an advisor to find potential investors interested in providing capital for the project. Fab 34 is currently Intel's only chip plant in Europe that uses cutting-edge extreme ultraviolet (EUV) lithography. It produces processors on the Intel 4 process node, including compute tiles for Meteor Lake client CPUs and expected future Xeon data center chips. While $2 billion alone cannot finance the construction of an entirely new fab today, it can support meaningful expansion or upgrades of existing capacity. Intel likely aims to grow Fab 34's output and/or transition it to more advanced 3 nm-class technologies like Intel 3, Intel 20A, or Intel 18A.

Expanding production aligns with Intel's needs for its own products and its Intel Foundry Services business, providing contract manufacturing. Intel previously secured a $15 billion investment from Brookfield Infrastructure for its Arizona fabs in exchange for a 49% stake, demonstrating the company's willingness to partner to raise capital for manufacturing projects. The Brookfield deal also set a precedent of using outside financing to supplement Intel's own spending budget. It provided $15 billion in effectively free cash flow Intel can redirect to other priorities like new fabs without increasing debt. Intel's latest fundraising efforts for the Ireland site follow a similar equity investment model that leverages outside capital to support its manufacturing expansion plans. Acquiring High-NA EUV machinery for manufacturing is costly, as these machines can reach up to $380 million alone.

Intel Reports Fourth-Quarter and Full-Year 2023 Financial Results

Intel Corporation today reported fourth-quarter and full-year 2023 financial results. "We delivered strong Q4 results, surpassing expectations for the fourth consecutive quarter with revenue at the higher end of our guidance," said Pat Gelsinger, Intel CEO. "The quarter capped a year of tremendous progress on Intel's transformation, where we consistently drove execution and accelerated innovation, resulting in strong customer momentum for our products. In 2024, we remain relentlessly focused on achieving process and product leadership, continuing to build our external foundry business and at-scale global manufacturing, and executing our mission to bring AI everywhere as we drive long-term value for stakeholders."

David Zinsner, Intel CFO, said, "We continued to drive operational efficiencies in the fourth quarter, and comfortably achieved our commitment to deliver $3 billion in cost savings in 2023. We expect to unlock further efficiencies in 2024 and beyond as we implement our new internal foundry model, which is designed to drive greater transparency and accountability and higher returns on our owners' capital." For the full year, the company generated $11.5 billion in cash from operations and paid dividends of $3.1 billion.

ASUS IoT Unveils Comprehensive Solutions Powered by Intel Core Ultra Processors

ASUS IoT, the global AIoT solution provider, today announced the launch of industry-leading ultra-compact edge computers and embedded boards with the all-new Intel Core Ultra processors. This lineup comprises the C7146ES-IM-AA, C5143ES-IM-AA single-board computer (SBC), EBS-S500W edge computer and PE2200U ultra-compact fanless embedded computer - offering AI-ready CPU, GPU and NPU enhancements, coupled with remarkable power efficiency. These solutions cater to a wide range of applications such as smart retail, traffic analysis, medical imaging, utility management and edge AI, exemplifying ASUS IoT's commitment to enabling next-generation AI capabilities at the edge.

"ASUS IoT is proud to present our leading solutions to the market, enabling easy deployment of next-generation AI capabilities at the edge," said KuoWei Chao, General Manager of ASUS IoT Business Group. "By collaborating with Intel, ASUS IoT solutions can provide faster AI results, support more media streams per device and ensure long-term value through product longevity."

Intel Accelerates AI Everywhere with Launch of Powerful Next-Gen Products

At its "AI Everywhere" launch in New York City today, Intel introduced an unmatched portfolio of AI products to enable customers' AI solutions everywhere—across the data center, cloud, network, edge and PC. "AI innovation is poised to raise the digital economy's impact up to as much as one-third of global gross domestic product," Gelsinger said. "Intel is developing the technologies and solutions that empower customers to seamlessly integrate and effectively run AI in all their applications—in the cloud and, increasingly, locally at the PC and edge, where data is generated and used."

Gelsinger showcased Intel's expansive AI footprint, spanning cloud and enterprise servers to networks, volume clients and ubiquitous edge environments. He also reinforced that Intel is on track to deliver five new process technology nodes in four years. "Intel is on a mission to bring AI everywhere through exceptionally engineered platforms, secure solutions and support for open ecosystems. Our AI portfolio gets even stronger with today's launch of Intel Core Ultra ushering in the age of the AI PC and AI-accelerated 5th Gen Xeon for the enterprise," Gelsinger said.

Ericsson First to Market with Processors Made on "Intel 4" Technology

Ericsson, a massive player in networking and cellular technology, has announced their new lineup of RAN Compute (Radio Access Network) processors as well as new high bandwidth routers built around in-house silicon designs fabbed on Intel 4, beating Intel's own Meteor Lake processors to market. These new processors are not something the average person is going to be using in their PCs or home routers, but they are pivotal in the reliability and speed of current and future generation 5G networking as the devices they power handle the ever increasing traffic and bandwidth demands of modern networks. In its press release Ericsson notes that the new RAN Processor 6672 and Radio Processor 6372 offer four times more capacity at twice the efficiency compared to their previous generation. They claim that the power draw of their new processors on Intel 4 is between 30% and 60% lower than the industry benchmarks.

Ericsson signed on as one of Intel's largest customers when Intel announced their "Intel Foundry Services" initiative under the IDM 2.0 strategy to offer chip designers the ability to fab their processors at Intel fabs. The strategy has - at least outwardly - appeared to be a boon to Intel as they've signed on large partnerships ranging from big budget defense contractors to datacenter clientele and even ARM. The new RAN Compute systems from Ericsson packed full of technology built on "Intel 4" even ahead of Intel's own designs exemplifies that Intel is at the very least committed to the strategy, and Ericsson has already announced plans for even more chips on Intel's "18A" process slated for 2025.

Intel Reports Third-Quarter 2023 Financial Results

Intel Corporation today reported third-quarter 2023 financial results. "We delivered a standout third quarter, underscored by across-the-board progress on our process and product roadmaps, agreements with new foundry customers, and momentum as we bring AI everywhere," said Pat Gelsinger, Intel CEO. "We continue to make meaningful progress on our IDM 2.0 transformation by relentlessly advancing our strategy, rebuilding our execution engine and delivering on our commitments to our customers."

David Zinsner, Intel CFO, said, "Our results exceeded expectations for the third consecutive quarter, with revenue above the high end of our guidance and EPS benefiting from strong operating leverage and expense discipline. As demonstrated by our recent portfolio actions, we are highly focused on being great allocators of our owners' capital and unlocking value for shareholders."

Intel to Start High-Volume EUV Production in Ireland, Intel 4 Node Enters Mass-production

Intel Foundry Services (IFS) today announced that it will commence mass-production on its first silicon fabrication node that leverages extreme ultraviolet (EUV) lithography, Intel 4. On September 29, the Intel 4 node will start rolling at the company's facility in Leixlip, Ireland, dubbed Fab 34. CEO Pat Gelsinger, Dr. Ann Kelleher, general manager of Technology Development at Intel, and Keyvan Esfarjani, chief global operations officer, will be present at a ceremony commemorating production of the first wafers.

Intel 4 is an advanced foundry that leverages EUV, and offers both transistor densities and electrical characteristics comparable to TSMC's 5 nm-class and 4 nm-class foundry nodes. Among the first chips to be built are the compute tiles of the company's Core "Meteor Lake" processors, which contain their next-generation CPU cores. Compared to the current Intel 7 node, Intel 4 offers double the area scaling for logic libraries, a 20% iso-power improvement, and introduces the new metal-insulator-metal (MIM) capacitor.

Intel Demoes Core "Lunar Lake" Processor from Two Generations Ahead

Intel at the 2023 InnovatiON event surprised audiences with a live demo of a reference notebook powered by a Core "Lunar Lake" processor. What's surprising about this is that "Lunar Lake" won't come out until 2025 (at least), and succeeds not just the upcoming "Meteor Lake" architecture, but also its succeeding "Arrow Lake," which debuts in 2024. Intel is expected to debut "Meteor Lake" some time later this year. What's also surprising is that Intel has proven that the Intel 18A foundry node works. The Compute tile of "Lunar Lake" is expected to be based on Intel 18A, which is four generations ahead of the current Intel 7, which will be succeeded by Intel 4, Intel 3, and Intel 20A along the way.

The demo focused on the generative AI capabilities of Intel's third generation NPU, the hardware backend of AI Boost. Using a local session of a tool similar to Stable Diffusion, the processor was made to generate the image of a giraffe wearing a hat; and a GPT program was made to pen the lyrics of a song in the genre of Taylor Swift from scratch. Both tasks were completed on stage using the chip's NPU, and in timeframes you'd normally expect from discrete AI accelerators or cloud-based services.

Intel Innovation 2023: Bringing AI Everywhere

As the world experiences a generational shift to artificial intelligence, each of us is participating in a new era of global expansion enabled by silicon. It's the "Siliconomy," where systems powered by AI are imbued with autonomy and agency, assisting us across both knowledge-based and physical-based tasks as part of our everyday environments.

At Intel Innovation, the company unveiled technologies to bring AI everywhere and to make it more accessible across all workloads - from client and edge to network and cloud. These include easy access to AI solutions in the cloud, better price performance for Intel data center AI accelerators than the competition offers, tens of millions of new AI-enabled Intel PCs shipping in 2024 and tools for securely powering AI deployments at the edge.

With PowerVia, Intel Achieves a Chipmaking Breakthrough

Intel is about to turn chipmaking upside down with PowerVia, a new approach to delivering power that required a radical rethink to both how chips are made and how they are tested. For all the modern history of computer chips, they've been built like pizzas—from the bottom up, in layers. In the case of chips, you start with the tiniest features, the transistors, and then you build up increasingly less-tiny layers of wires that connect the transistors and different parts of the chip (these are called interconnects). Included among those top layers are the wires that bring in the power that makes the chip go.

When the chip is done, you flip it over, enclose it in packaging that provides connections to the outer world, and you're ready to put it in a computer. Unfortunately, this approach is running into problems. As they get smaller and denser, the layers that share interconnects and power connections have become an increasingly chaotic web that hinders the overall performance of each chip. Once an afterthought, "now they have a huge impact," says Ben Sell, vice president of Technology Development at Intel and part of the team that brought PowerVia to fruition. In short, power and signals fade, requiring workarounds or simply dumping more power in.

Intel to Demonstrate PowerVia on E-Core Processor Built with Intel 4 Node

At VLSI Symposium 2023, scheduled to take place between June 11-16, Intel is set to demonstrate its PowerVia technology working efficiently on an E-Core chip built using the Intel 4 node. Conventional chips have power and signal interconnects distributed across multiple metal layers. PowerVia, on the other hand, dedicates specific layers for power delivery, effectively separating them from the signal routing layers. This approach allows for vertical power delivery through a set of power-specific Through-Silicon Vias (TSVs) or PowerVias, which are essentially vertical connections between the top and bottom surfaces of the chip. By delivering power directly from the backside of the chip, PowerVia reduces power supply noise and resistive losses, optimizing power distribution and improving overall energy efficiency. PowerVia is set to make a debut in 2024 with Intel 20A node.

For VLSI Symposium 2023 talk, the company has prepared a paper that highlights a design made using Intel 4 technology and implements E-Cores only in a test chip. The document states: "PowerVia Technology is a novel innovation to extend Process Scaling by having Power Delivery on the backside. This paper presents the pre and post silicon findings from implementing an Intel E-Core in PowerVia Technology. PowerVia enabled standard cell utilization of greater than 90 percent in large areas of the core while showing greater than 5 percent frequency benefit in silicon due reduced IR drop. Successful Post silicon debug is demonstrated with slightly higher but acceptable throughput times. The thermal characteristics of the PowerVia testchip is inline with higher power densities expected from logic scaling."

Intel Core "Meteor Lake" Now Ramping for Production, Market Release in 2H-2023

Intel's next-generation Core "Meteor Lake" processor is now ramping for mass-production, with product launches expected in the second half of 2023, the company announced in its Q1-2023 Financial Results release. "Meteor Lake" will see Intel debut its next-generation foundry node, the Intel 4. The company is expected to use this node for the Compute Tile of the "Meteor Lake" processor, the piece of silicon that has the CPU cores. Intel 4 is said to offer transistor-density and performance/Watt comparable to TSMC's N5-series and N4-series foundry nodes. In the same release, Intel stated that development of its future foundry nodes, Intel 3, Intel 20A, and Intel 18A, are on track. In its top configuration, "Meteor Lake" is expected to feature a CPU core configuration of 6P+16E, and we are hearing that it will see a more limited release in the desktop segment, in that the processor will only come in Core i3 and Core i5 brand extensions, not Core i7 or Core i9 (which would be taken up by "Arrow Lake," with its higher P-core count). "Meteor Lake" will span a variety of mobile segments from 7 W ultraportables, to 45 W mainstream notebooks, and possibly even 55 W gaming notebooks.

Intel Reports First-Quarter 2023 Financial Results: Client and Server Businesses Down 38-39% Each

Intel Corporation today reported first-quarter 2023 financial results. "We delivered solid first-quarter results, representing steady progress with our transformation," said Pat Gelsinger, Intel CEO. "We hit key execution milestones in our data center roadmap and demonstrated the health of the process technology underpinning it. While we remain cautious on the macroeconomic outlook, we are focused on what we can control as we deliver on IDM 2.0: driving consistent execution across process and product roadmaps and advancing our foundry business to best position us to capitalize on the $1 trillion market opportunity ahead."

David Zinsner, Intel CFO, said, "We exceeded our first-quarter expectations on the top and bottom line, and continued to be disciplined on expense management as part of our commitment to drive efficiencies and cost savings. At the same time, we are prioritizing the investments needed to advance our strategy and establish an internal foundry model, one of the most consequential steps we are taking to deliver on IDM 2.0."

Intel 20A and 18A Foundry Nodes Complete Development Phase, On Track for 2024 Manufacturing

Intel Foundry Services, the in-house semiconductor foundry of Intel, announced that its 2 nm-class Intel 20A and 1.8 nm-class Intel 18A foundry nodes have completed development, and are on course for mass-producing chips on their roadmap dates. Chips are expected to begin mass-production on the Intel 20A node in the first half of 2024, while those on the Intel 18A node are expected to begin in the second half of 2024. The completion of the development phase means that Intel has finalized the specifications and performance/power targets of the nodes, the tools and software required to make the chips, and can now begin ordering them to build the nodes. Intel has been testing these nodes through 2022, and with the specs being finalized, chip-designers can accordingly wrap up development of their products to align with what these nodes have to offer.

Intel 20A (or 20-angstrom, or 2 nm) node introduces gates-all-around (GAA) RibbonFET transistors with PowerVIAs (an interconnect innovation that contributes to transistor densities). The Intel 20A node is claimed to offer a 15% performance/Watt gain over its predecessor, the Intel 3 node (FinFET EUV, 3 nm-class), which by itself offers an 18% performance/Watt gain over Intel 4 (20% perf/Watt gain over the current Intel 7 node), the node that is entering mass-production very soon. The Intel 18A node is a further refinement of Intel 20A, and introduces a design improvement to the RibbonFET that increases transistor density at scale, and a claimed 10% performance/Watt improvement over Intel 20A.

Intel Reports Fourth-Quarter and Full-Year 2022 Financial Results, Largest Loss in Years

Intel Corporation today reported fourth-quarter and full-year 2022 financial results. The company also announced that its board of directors has declared a quarterly dividend of $0.365 per share on the company's common stock, which will be payable on March 1, 2023, to shareholders of record as of February 7, 2023.

"Despite the economic and market headwinds, we continued to make good progress on our strategic transformation in Q4, including advancing our product roadmap and improving our operational structure and processes to drive efficiencies while delivering at the low-end of our guided range," said Pat Gelsinger, Intel CEO. "In 2023, we will continue to navigate the short-term challenges while striving to meet our long-term commitments, including delivering leadership products anchored on open and secure platforms, powered by at-scale manufacturing and supercharged by our incredible team."

SiFive Reveals HiFive Pro P550 RISC-V Development Platform in microATX Form Factor

Back in February 2022 SiFive announced its partnership with Intel Foundry Services (IFS), to bring its "Horse Creek" SoC to market and now SiFive has announced that it's getting ready to launch its first development board on said SoC. This summer, SiFive will launch the HiFive Pro P550 development board, which will kick things up a serious notch when it comes to embedded SoC development boards, regardless of the CPU core the SoC is built around. The HiFive Pro P550 will be one of few microATX based embedded SoC development boards out there and so far, to our knowledge, the only one with a RISC-V based SoC. The Horse Creek SoC sports quad core, 2.2 GHz, 13-stage, triple-issue, out-of-order pipeline RISC-V RV64GBC CPU built on the Intel 4 node. The SoC also has a DDR5 5600 MHz memory interface, support for eight lanes of PCIe 5.0 and comes in a 19 x 19 mm FBGA package.

The HiFive Pro P550 will offer 16 GB of DDR5 memory, but based on the render of the motherboard, this is soldered to the board, rather than relying on standard DDR5 DIMMs. Furthermore, the board has two x16 PCIe 3.0 expansion slots, although it's unclear how many PCIe each slot features, as well as a PCIe 3.0 M.2 2280 M-key slot for NVMe SSDs and a PCIe 3.0 M.2 E-key slot for a WiFi/Bluetooth module. The board also sports multiple USB/USB 3.0 ports and even a pair of USB-C ports. The press release also mentions both Gigabit and 10 Gbps Ethernet support, as well as support for onboard graphics and remote system management, without going into any further details. It'll be interesting to see if the Horse Creek SoC can deliver on its expected performance target, especially as SiFive has a lot to prove, especially as the company calls the RISC-V architecture inevitable.

Intel 4th Gen Xeon Scalable "Sapphire Rapids" Server Processors Launch in January

Intel just finalized the launch date of its 4th Gen Xeon Scalable "Sapphire Rapids" server processors. The company plans to launch them on January 10, 2023. The new processors will be launched at a special event dedicated to the company's various new Data Center (group) innovations, which cover server processors, new networking innovations, possible launches from Intel's ecosystem partners, and more.

A lot is riding on the success of "Sapphire Rapids," as they see the introduction of Intel's new high-performance CPU core on in the enterprise segment at core-counts of up to 60-core/120-thread per socket; along with cutting-edge new I/O that includes DDR5 memory, PCI-Express Gen 5, next-gen CXL, and on-package HBM memory on certain variants.

Intel's Next-Gen Desktop Platform Intros Socket LGA1851, "Meteor Lake-S" to Feature 6P+16E Core Counts

Keeping up with the cadence of two generations of desktop processors per socket, Intel will turn the page of the current LGA1700, with the introduction of the new Socket LGA1851. The processor package will likely have the same dimensions as LGA1700, and the two sockets may share cooler compatibility. The first processor microarchitecture to debut on LGA1851 will be the 14th Gen Core "Meteor Lake-S." These chips will feature a generationally lower CPU core-count compared to "Raptor Lake," but significantly bump the IPC on both the P-cores and E-cores.

"Raptor Lake" is Intel's final monolithic silicon client processor before the company pivots to chiplets built on various foundry nodes, as part of its IDM 2.0 strategy. The client-desktop version of "Meteor Lake," dubbed "Meteor Lake-S," will have a maximum CPU core configuration of 6P+16E (that's 6 performance cores with 16 efficiency cores). The chip has 6 "Redwood Cove" P-cores, and 16 "Crestmont" E-cores. Both of these are expected to receive IPC uplifts, such that the processor will end up faster (and hopefully more efficient) than the top "Raptor Lake-S" part. Particularly, it should be able to overcome the deficit of 2 P-cores.

Intel Reports Third-Quarter 2022 Financial Results

Intel Corporation today reported third-quarter 2022 financial results. "Despite the worsening economic conditions, we delivered solid results and made significant progress with our product and process execution during the quarter," said Pat Gelsinger, Intel CEO. "To position ourselves for this business cycle, we are aggressively addressing costs and driving efficiencies across the business to accelerate our IDM 2.0 flywheel for the digital future."

"As we usher in the next phase of IDM 2.0, we are focused on embracing an internal foundry model to allow our manufacturing group and business units to be more agile, make better decisions and establish a leadership cost structure," said David Zinsner, Intel CFO. "We remain committed to the strategy and long-term financial model communicated at our Investor Meeting."

Intel Meteor Lake Can Play Videos Without a GPU, Thanks to the new Standalone Media Unit

Intel's upcoming Meteor Lake (MTL) processor is set to deliver a wide range of exciting solutions, with the first being the Intel 4 manufacturing node. However, today we have some interesting Linux kernel patches that indicate that Meteor Lake will have a dedicated "Standalone Media" Graphics Technology (GT) block to process video/audio. Moving encoding and decoding off GPU to a dedicated media engine will allow MTL to play back video without the GPU, and the GPU can be used as a parallel processing powerhouse. Features like Intel QuickSync will be built into this unit. What is interesting is that this unit will be made on a separate tile, which will be fused with the rest using tile-based manufacturing found in Ponte Vecchio (which has 47 tiles).
Intel Linux PatchesStarting with [Meteor Lake], media functionality has moved into a new, second GT at the hardware level. This new GT, referred to as "standalone media" in the spec, has its own GuC, power management/forcewake, etc. The general non-engine GT registers for standalone media start at 0x380000, but otherwise use the same MMIO offsets as the primary GT.

Standalone media has a lot of similarity to the remote tiles present on platforms like [Xe HP Software Development Vehicle] and [Ponte Vecchio], and our i915 [kernel graphics driver] implementation can share much of the general "multi GT" infrastructure between the two types of platforms.

TSMC (Not Intel) Makes the Vast Majority of Logic Tiles on Intel "Meteor Lake" MCM

Intel's next-generation "Meteor Lake" processor is the first mass-production client processor to embody the company's IDM 2.0 manufacturing strategy—one of building processors with multiple logic tiles interconnected with Foveros and a base-tile (essentially an interposer). Each tile is built on a silicon fabrication process most suitable to it, so that the most advanced node could be reserved for the component that benefits from it the most. For example, while you need the SIMD components of the iGPU to be built on an advanced low-power node, you don't need its display controller and media engine to, and these could be relegated to a tile built on a less advanced node. This way Intel is able to maximize its use of wafers for the most advanced nodes in a graded fashion.

Japanese tech publication PC Watch has annotated the "Meteor Lake" SoC, and points out that the vast majority of the chip's tiles and logic die-area is manufactured on TSMC nodes. The MCM consists of four logic tiles—the CPU tile, the Graphics tile, the SoC tile, and the I/O tile. The four sit on a base tile that facilitates extreme-density microscopic wiring interconnecting the logic tiles. The base tile is built on the 22 nm HKMG silicon fabrication node. This tile lacks any logic, and only serves to interconnect the tiles. Intel has an active 22 nm node, and decided it has the right density for the job.

Intel 14th Gen "Meteor Lake" APUs Reportedly Feature Ray Tracing, May Lack XeSS

Intel's future Meteor Lake APUs seem to be playing catch-up to AMD's integrated graphics in more ways than one. Twitter user Coelacanth's Dream has dug up information that indicates Intel's commitment to bring ray tracing support to even its IGP (Integrated Graphics Processing) tiles. According to bits and pieces from Intel Graphics Compiler (IGC) code patches, it seems to be confirmed that ray tracing support is indeed coming to the TSMC-made, 3 nm GPU tiles in Meteor Lake. The kicker here is the presence of flags that detect whether the iGPU is of the "iGFX_meteorlake" type - if so, IGC sets ray tracing support to enabled.

Puzzlingly, Intel's upscaling technology, Xe SuperSampling (XeSS) could be out of the picture - at least for now. It seems that IGC patches for the upcoming APU family still don't allow for DPAS (Dot Product Accumulate Systolic) instructions - instructions that rely on XMX (Intel Xe Matrix Extensions), the AI engines responsible for executing 128 FP16/BF16, 256 INT8, or 512 INT4/INT2 operations per clock. These low-precision operations are the soul of algorithmic supersampling technologies such as XeSS.

Intel Meteor Lake Reportedly Delayed Until End of 2023, Will Have Knock-On Effects for TSMC

Based on a report by TrendForce, Intel has yet again had to push back its upcoming Meteor Lake CPUs and it now appears that Intel will only be launching Meteor Lake towards the end of 2023. It's unclear why there has been yet another delay, but Intel is said to have cancelled most of its orders with TSMC for the 3 nm tGPU that Intel will have made at TSMC, for 2023. The knock-on effect of this, is that TSMC is said to be slowing down its production line expansion towards 3 nm, as the company is now unsure if it'll be able to fill its order books for all of 2023. TSMC's main customer for the 3 nm node is still going to be Apple, but with the loss of what is likely to be around six months worth of production from Intel, TSMC is said to be considering cutting its CapEx for 2023.

TSMC's other customers, such as AMD, MediaTek and Qualcomm aren't planning on moving to 3 nm until 2024, so unless there's a change in plans from either of these companies, or increased demand from Apple, TSMC is said to hit the brakes when it comes to starting up new, cutting edge production lines next year. TSMC is also likely to see reduced revenues during 2023 due to Intel's change of plans, although it's too early to make any assumptions. TrendForce also suggests that Intel might still use TSMC's 3 nm node as a backup plan, if Intel would fail to execute on moving to the Intel 4 process, but considering how complex it is to move a design between different foundry processes, this seems unlikely.
Return to Keyword Browsing
Apr 30th, 2024 23:04 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts