Wednesday, February 21st 2024

Intel Announces Intel 14A (1.4 nm) and Intel 3T Foundry Nodes, Launches World's First Systems Foundry Designed for the AI Era

Intel Corp. today launched Intel Foundry as a more sustainable systems foundry business designed for the AI era and announced an expanded process roadmap designed to establish leadership into the latter part of this decade. The company also highlighted customer momentum and support from ecosystem partners - including Synopsys, Cadence, Siemens and Ansys - who outlined their readiness to accelerate Intel Foundry customers' chip designs with tools, design flows and IP portfolios validated for Intel's advanced packaging and Intel 18A process technologies.

The announcements were made at Intel's first foundry event, Intel Foundry Direct Connect, where the company gathered customers, ecosystem companies and leaders from across the industry. Among the participants and speakers were U.S. Secretary of Commerce Gina Raimondo, Arm CEO Rene Haas, Microsoft CEO Satya Nadella, OpenAI CEO Sam Altman and others.
"AI is profoundly transforming the world and how we think about technology and the silicon that powers it," said Intel CEO Pat Gelsinger. "This is creating an unprecedented opportunity for the world's most innovative chip designers and for Intel Foundry, the world's first systems foundry for the AI era. Together, we can create new markets and revolutionize how the world uses technology to improve people's lives."

Process Roadmap Expands Beyond 5N4Y
Intel's extended process technology roadmap adds Intel 14A to the company's leading-edge node plan, in addition to several specialized node evolutions. Intel also affirmed that its ambitious five-nodes-in-four-years (5N4Y) process roadmap remains on track and will deliver the industry's first backside power solution. Company leaders expect Intel will regain process leadership with Intel 18A in 2025.

The new roadmap includes evolutions for Intel 3, Intel 18A and Intel 14A process technologies. It includes Intel 3-T, which is optimized with through-silicon vias for 3D advanced packaging designs and will soon reach manufacturing readiness. Also highlighted are mature process nodes, including new 12 nanometer nodes expected through the joint development with UMC announced last month. These evolutions are designed to enable customers to develop and deliver products tailored to their specific needs. Intel Foundry plans a new node every two years and node evolutions along the way, giving customers a path to continuously evolve their offerings on Intel's leading process technology.

Intel also announced the addition of Intel Foundry FCBGA 2D+ to its comprehensive suite of ASAT offerings, which already include FCBGA 2D, EMIB, Foveros and Foveros Direct.

Microsoft Design on Intel 18A Headlines Customer Momentum
Customers are supporting Intel's long-term systems foundry approach. During Pat Gelsinger's keynote, Microsoft Chairman and CEO Satya Nadella stated that Microsoft has chosen a chip design it plans to produce on the Intel 18A process.

"We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry," Nadella said. "To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That's why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process."

Intel Foundry has design wins across foundry process generations, including Intel 18A, Intel 16 and Intel 3, along with significant customer volume on Intel Foundry ASAT capabilities, including advanced packaging.

In total, across wafer and advanced packaging, Intel Foundry's expected lifetime deal value is greater than $15 billion.

IP and EDA Vendors Declare Readiness for Intel Process and Packaging Designs
Intellectual property and electronic design automation (EDA) partners Synopsys, Cadence, Siemens, Ansys, Lorentz and Keysight disclosed tool qualification and IP readiness to enable foundry customers to accelerate advanced chip designs on Intel 18A, which offers the foundry industry's first backside power solution. These companies also affirmed EDA and IP enablement across Intel node families.

At the same time, several vendors announced plans to collaborate on assembly technology and design flows for Intel's embedded multi-die interconnect bridge (EMIB) 2.5D packaging technology. These EDA solutions will ensure faster development and delivery of advanced packaging solutions for foundry customers.
Intel also unveiled an "Emerging Business Initiative" that showcases a collaboration with Arm to provide cutting-edge foundry services for Arm-based system-on-chips (SoCs). This initiative presents an important opportunity for Arm and Intel to support startups in developing Arm-based technology and offering essential IP, manufacturing support and financial assistance to foster innovation and growth.

Systems Approach Differentiates Intel Foundry in the AI Era
Intel's systems foundry approach offers full-stack optimization from the factory network to software. Intel and its ecosystem empower customers to innovate across the entire system through continuous technology improvements, reference designs and new standards.
Stuart Pann, senior vice president of Intel Foundry at Intel said, "We are offering a world-class foundry, delivered from a resilient, more sustainable and secure source of supply, and complemented by unparalleled systems of chips capabilities. Bringing these strengths together gives customers everything they need to engineer and deliver solutions for the most demanding applications."

Global, Resilient, More Sustainable and Trusted Systems Foundry
Resilient supply chains must also be increasingly sustainable, and today Intel shared its goal of becoming the industry's most sustainable foundry. In 2023, preliminary estimates show that Intel used 99% renewable electricity in its factories worldwide. Today, the company redoubled its commitment to achieving 100% renewable electricity worldwide, net-positive water and zero waste to landfills by 2030. Intel also reinforced its commitment to net-zero Scope 1 and Scope 2 GHG emissions by 2040 and net-zero upstream Scope 3 emissions by 2050.
Add your own comment

45 Comments on Intel Announces Intel 14A (1.4 nm) and Intel 3T Foundry Nodes, Launches World's First Systems Foundry Designed for the AI Era

#26
3valatzy
DavidC1You probably know this already but when they talk about such numbers, they clarify what kind of process it is. If they achieve 85% of theoretical that's damn good. Oftentimes it falls far short, not surprising to be under 50% of the number. So it'd be 0.5 x HP process and 0.5 x HD process.
Apple's first TSMC 3 nm A17 Bionic: 100 mm2 19B transistors - that's 190 MTr / mm2 or ~85%.
AMD's GCD in Navi 31: 304.35 mm2 45.4B transistors - that's 150.2 MTr / mm2 or 176.7 Mtr / mm2 for the TSMC 5 nm.

Wikipedia states something very weird:
TSMC 5 nm = 138.2 MTr / mm2
TSMC 3 nm = 224.2 Mtr / mm2
Posted on Reply
#27
DavidC1
3valatzyApple's first TSMC 3 nm A17 Bionic: 100 mm2 19B transistors - that's 190 MTr / mm2 or ~85%.
AMD's GCD in Navi 31: 304.35 mm2 45.4B transistors - that's 150.2 MTr / mm2 or 176.7 Mtr / mm2 for the TSMC 5 nm.

Wikipedia states something very weird:
TSMC 5 nm = 138.2 MTr / mm2
TSMC 3 nm = 224.2 Mtr / mm2
They probably got something wrong with Navi 31 numbers. Otherwise based on the performance what are those transistors doing?
Posted on Reply
#28
3valatzy
DavidC1They probably got something wrong with Navi 31 numbers. Otherwise based on the performance what are those transistors doing?
If memory serves me well, an article claimed that AMD doesn't want to enable all the potential and features of Navi 31 because Nvidia didn't compete. :rolleyes: :roll:
Posted on Reply
#29
N/A
Because that's the GCD density. the MCD is far less 1/3 basically and it can't be shrinked that much more. so you get 109 average. Nvidia gets 122
EternitI don't know where youtr feeling comes from? Just because number 14 is in both 14nm and 14A?
Anyway 14nm wasn't great in the beggining, maybe not as bad as 10nm in the begining, but still far from being great.
2014 Q4 14nm lasted for 5,6,7,8,9,10,11 gens 2021 10nm formerly known still dragging itself well into the 3rd year 12,13,14th gen
now 2024 is supposed to be the year when 20A (3NM old) is released in mass volume for the CPU tile
How is that even possible they skipped the old 7 and 4. will be used for other tiles but still.
Posted on Reply
#30
AMDK11
DenverFrom what I've researched, the 13900k (intel 7) has 25.9B transistors, so if the die is 258mm², that's 100mT/mm².

Intel's process density isn't bad, the same goes for Samsung; the problem is that in terms of efficiency, both are crawling at the feet of TSMC
Where does the data on the number of transistors for the RaptorLake-S system come from?
Posted on Reply
#31
3valatzy
N/ABecause that's the GCD density. the MCD is far less 1/3 basically and it can't be shrinked that much more.
If this is true, then AMD made a mistake to make the MCD using the still expensive N6 process. They could have used something much cheaper - for example 45 nm or 32 nm... whatever suits them to lower the cost and the SEP.
Posted on Reply
#32
AMDK11
Zen 2
7nm TSMC Compute die
~76 mm2
3.8B xtors
50 MTr/mm2

Zen 3
7nm TSMC Compute die
~84 mm2
4.15B xtors
49.40 MTr/mm2

Zen 4
5nm TSMC Compute die
~71 mm2
6.57B xtors
92.54 MTr/mm2
Posted on Reply
#33
Eternit
N/ABecause that's the GCD density. the MCD is far less 1/3 basically and it can't be shrinked that much more. so you get 109 average. Nvidia gets 122


2014 Q4 14nm lasted for 5,6,7,8,9,10,11 gens 2021 10nm formerly known still dragging itself well into the 3rd year 12,13,14th gen
now 2024 is supposed to be the year when 20A (3NM old) is released in mass volume for the CPU tile
How is that even possible they skipped the old 7 and 4. will be used for other tiles but still.
The first Intel 10nm CPU was in 2018, it was a disaster but anyway it was in 2018 so it is dragging into 6th year.
Also Intel 3 is just improved Intel 4 and they both are what used to be named 7nm. 20A and 18A will be what used to be 5nm and 14A what used to be 3nm. All these names are just marketing.
Posted on Reply
#34
Denver
3valatzyIf this is true, then AMD made a mistake to make the MCD using the still expensive N6 process. They could have used something much cheaper - for example 45 nm or 32 nm... whatever suits them to lower the cost and the SEP.
Implementing such 'brilliant' ideas would likely lead AMD to produce a stove rather than a GPU.

The GTX 580 (40nm) was 520mm2 @ 3B transistors. As the cache is always less dense, expect something like twice the size, 1040mm. Therefore, a silicon notebook, rather than a GPU. Useless... Moreover, the inter-die connection technology required would likely be impractical or non-functional.

The MCM design makes the chip a little more inefficient. However, the N31's problem is more about software than hardware. Nvidia extends its tentacles, putting engineers working in every possible studio, even indies, to make everything run better on its hardware. AMD dealing with Intel and Nvidia at the same time cannot do this to the same degree.

AMDK11Where does the data on the number of transistors for the RaptorLake-S system come from?
I don't remember if it was an estimate from the chiphell or semi-accurate forums, maybe it's close to reality or not
Posted on Reply
#35
3valatzy
DenverImplementing such 'brilliant' ideas would likely lead AMD to produce a stove rather than a GPU.
A 14 nm SRAM cell is 0.0588 µm2, N6's SRAM cell size is 0.027 μm2. Difference is 117%.
Navi 31's MCD is 225.12 mm2, that made on a 14 nm process would be equivalent to 489 mm2.
If AMD was smart, decrease the Infinity cache size and make the MCD using the older process.

Wafer prices - < 3000$ for 14 nm
Wafer prices - between 9000 and 10000$ for 6 nm.

It is a brilliant idea.

Actually, TBH, if AMD had those "brilliant minds", it wouldn't be in that situation it is in now.
Posted on Reply
#36
Denver
3valatzyA 14 nm SRAM cell is 0.0588 µm2, N6's SRAM cell size is 0.027 μm2. Difference is 117%.
Navi 31's MCD is 225.12 mm2, that made on a 14 nm process would be equivalent to 489 mm2.
If AMD was smart, decrease the Infinity cache size and make the MCD using the older process.

Wafer prices - < 3000$ for 14 nm
Wafer prices - between 9000 and 10000$ for 6 nm.

It is a brilliant idea.

Actually, TBH, if AMD had those "brilliant minds", it wouldn't be in that situation it is in now.
MCD at 6nm costs just US$7-8; This chip is so small that a single wafer easily yields more than 1200 chips. Designing with such an outdated process not only introduces inefficiencies but also escalates costs, rendering it incompatible with AMD's current and future technological strategies, which prioritize the development of increasingly modular products.

Then... Yes, following your ideas is useless. :rolleyes:
Posted on Reply
#37
AnotherReader
3valatzyIf this is true, then AMD made a mistake to make the MCD using the still expensive N6 process. They could have used something much cheaper - for example 45 nm or 32 nm... whatever suits them to lower the cost and the SEP.
N6 is a variant of N7 which was the last node with good SRAM scaling so it would have been a mistake to make the MCDs on an older node.
EternitThe first Intel 10nm CPU was in 2018, it was a disaster but anyway it was in 2018 so it is dragging into 6th year.
Also Intel 3 is just improved Intel 4 and they both are what used to be named 7nm. 20A and 18A will be what used to be 5nm and 14A what used to be 3nm. All these names are just marketing.
Intel 3 and 4 are closer to TSMC's N5 than their N3. Don't go by marketing names. Look at actual feature sizes.

Posted on Reply
#38
3valatzy
DenverMCD at 6nm costs just US$7-8; This chip is so small that a single wafer easily yields more than 1200 chips. Designing with such an outdated process not only introduces inefficiencies but also escalates costs, rendering it incompatible with AMD's current and future technological strategies, which prioritize the development of increasingly modular products.
It doesn't work like that.
You state the cost of 1 MCD. They put 6 of them - that's at least 42$.

Also, unite those cut 6 MCD into a single die on a 14 nm.

There is a reason why the RAM manufacturers use 1x nodes, not 7 nm. :rolleyes:
Posted on Reply
#39
AnotherReader
3valatzyIt doesn't work like that.
You state the cost of 1 MCD. They put 6 of them - that's at least 42$.

Also, unite those cut 6 MCD into a single die on a 14 nm.

There is a reason why the RAM manufacturers use 1x nodes, not 7 nm. :rolleyes:
The processes used for DRAM are very different from logic processes. There's a reason that TSMC doesn't manufacture DRAM and Intel stopped manufacturing DRAM. Uniting the 6 MCD would also limit the utility of the new MCD. With the current approach, just scaling the number of MCDs allows AMD to offer the 7700 XT, 7800 XT, 7900 XT and 7900 XTX.
Posted on Reply
#40
Denver
3valatzyIt doesn't work like that.
You state the cost of 1 MCD. They put 6 of them - that's at least 42$.

Also, unite those cut 6 MCD into a single die on a 14 nm.

There is a reason why the RAM manufacturers use 1x nodes, not 7 nm. :rolleyes:
Yes, It's because they are different technologies, I see that you have no idea what you are talking about...

SRAM; Uses flip-flops to store each bit of data. A flip-flop consists of several logic gates that maintain their state (0 or 1) as long as power is maintained.

DDR RAM: Uses storage cells based on capacitors and transistors to store bits. Each cell contains a capacitor that stores electrical charge to represent a bit.
Posted on Reply
#41
AMDK11
Intel 7(E10SF)

"Some news for Sapphire Rapids from ISSCC: According to Nevine Nassif, Principal Engineer for Sapphire Rapids at Intel, the die size is a little lower than 400 mm² and the transistor count is between 11 and 12 billion."


Intel 7(E10SF)
"Some news for Sapphire Rapids from ISSCC: According to Nevine Nassif, Principal Engineer for Sapphire Rapids at Intel, the die size is a little lower than 400 mm² and the transistor count is between 11 and 12 billion."

Where did the 26 billion transistors in RaptorLake-S come from?

Intel 7 is definitely not 100 MTr/mm2.
Posted on Reply
#42
Denver
AMDK11Intel 7(E10SF)

"Some news for Sapphire Rapids from ISSCC: According to Nevine Nassif, Principal Engineer for Sapphire Rapids at Intel, the die size is a little lower than 400 mm² and the transistor count is between 11 and 12 billion."


Intel 7(E10SF)
"Some news for Sapphire Rapids from ISSCC: According to Nevine Nassif, Principal Engineer for Sapphire Rapids at Intel, the die size is a little lower than 400 mm² and the transistor count is between 11 and 12 billion."

Where did the 26 billion transistors in RaptorLake-S come from?

Intel 7 is definitely not 100 MTr/mm2.
This information is wrong. A single Zen4 CCD (8 cores) has 6.57B transistors, how can a robust server processor only have 12B transistors? Genoa has 78.8B.
Posted on Reply
#43
AMDK11
DenverThis information is wrong. A single Zen4 CCD (8 cores) has 6.57B transistors, how can a robust server processor only have 12B transistors? Genoa has 78.8B.
Let us assume that there are 11.5 billion transistors for 15 physical cores in almost 400 mm2.

SapphireRapids is 4x400 mm2, giving a total of 1600 mm2 and 46 billion transistors for physically 60 cores.

Genoa has 96 cores, i.e. 12 chiplets of 8 cores each + I/O chiplet.

Two 2x 71 mm2 dies and 6.57 billion transistors give a total of 142 mm2 for 16 cores (Zen 4) and 13.14 billion transistors + I/O chiplet.
Posted on Reply
#44
Denver
AMDK11Let us assume that there are 11.5 billion transistors for 15 physical cores in almost 400 mm2.

SapphireRapids is 4x400 mm2, giving a total of 1600 mm2 and 46 billion transistors for physically 60 cores.

Genoa has 96 cores, i.e. 12 chiplets of 8 cores each + I/O chiplet.

Two 2x 71 mm2 dies and 6.57 billion transistors give a total of 142 mm2 for 16 cores (Zen 4) and 13.14 billion transistors + I/O chiplet.
I can't believe these numbers because it would result in 33mTr/mm², in other words density equivalent to that offered by 14nm; The improved 10nm (Intel 7) should reach up to 100mTr/mm²



Can TSMC Maintain Their Process Technology Lead - SemiWiki
Posted on Reply
#45
AMDK11
DenverI can't believe these numbers because it would result in 33mTr/mm², in other words density equivalent to that offered by 14nm; The improved 10nm (Intel 7) should reach up to 100mTr/mm²



Can TSMC Maintain Their Process Technology Lead - SemiWiki
I'm sure the transistor density per mm2 is higher for SapphireRapids. Look at EmeraldRapids which has 2 chips with a total surface area similar to the 4 for SapphireRapids.

EmeraldRapids physically has 2x 33 cores for a total of 66 cores with LLC per single 5MB core instead of 1.875MB (SapphireRapids).

A large part of the SapphireRapids surface is the connections between the 4 chips.



SapphireRapids 4x 393.88 mm2 = 1575.52 mm2 (Intel 7)

EmeraldRapids 2x 763.03 mm2 = 1526.05 mm2 (Intel 7)

www.semianalysis.com/p/intel-emerald-rapids-backtracks-on
Posted on Reply
Add your own comment
Jun 3rd, 2024 04:35 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts