News Posts matching #Arrow Lake

Return to Keyword Browsing

Intel Lists Testing Interposers for Arrow Lake-HX, Lunar Lake-M, and Battlemage

Intel recently updated its website to highlight interposers used for testing upcoming chips before their actual product integration. A specific webpage now showcases components used by various tools, notably the "Gen5 VR," which stands for CPU Voltage Regulator in this context. The highlight of the update reveals at least four yet-to-be-announced products: Battlemage (BMG), Arrow Lake (ARL), and Lunar Lake (LNL), slated for launch in 2024. Particularly interesting are the two Battlemage interposers: BGA2362-BMG-X2 and BGA2727-BMG-X3. This hints that a Battlemage GPU could have more pins than Intel's current top-tier GPU from the Alchemist series, known as DG2, which features 2660 pins (BGA2660-DG2-512EU).

This unveiling could indicate Intel's plans to introduce two GPUs in its new series or potentially two different package sizes. Manufacturers often use consistent package sizes for multiple GPUs, granting flexibility to interchange processors with similar specifications and presenting a feasible production strategy. Another notable mention is the Arrow Lake-HX, intended for premium desktop/laptop hybrids.. While there was some buzz about the ARL-HX series before, this update provides clear confirmation from Intel. Lastly, the reveal includes an interposer for the Lunar Lake-M series (LNL-M), which is expected to be Intel's most energy-efficient line. Drawing parallels from the Alder Lake series, such chips were designed for tablets with power consumption between 5 to 7 watts.

Intel Arrow Lake-S to Feature 3 MB of L2 Cache per Performance Core

Intel's next-generation designs are nearing launch, and we are already getting information about the upcoming generations. Today, we have the information that Intel's Arrow Lake-S desktop/client implementations of the Arrow Lake family will feature as much as 3 MB of level two (L2) cache for each performance core. Currently, Intel's latest 13th-generation Raptor Lake and 14th-generation Raptor Lake Refresh feature 2 MB of L2 cache per performance core. However, the 15th generation Arrow Lake, scheduled for launch in 2024, will bump that up by 50% and reach 3 MB. Given that P-cores are getting a boost in capacity, we expect E-cores to do so as well, but at a smaller size.

Arrow Lake will utilize Lion Cove P-core microarchitecture, while the E-core design will be based on Skymont. Intel plans to use a 20A node for this CPU, and more details will be presented next year.

Intel's Upcoming LGA-1851 Socket Detailed

Igor Wallossek over at Igor's Lab has posted a range of technical specs for Intel's upcoming LGA-1851 socket and it covers everything from the pin-out to the mechanical characteristics of the new socket. The new socket is intended for Intel's 15th gen Arrow Lake S CPU. Raptor Lake refresh, which will launch as Intel's 14th gen—and last generation—Core i desktop processor, still uses LGA-1700. Although the new socket has additional pins, the physical size remains the same as the LGA-1700 sockets, so it's expected that current coolers will remain compatible with the LGA-1851 socket. However, the mounting pressure has almost doubled from 489.5 N to 923 N, suggesting that a different mounting kit might be needed.

What is more interesting with regards to the new socket is that Igor confirms that Intel will be adding four PCIe 5.0 lanes for a CPU connected NVMe SSD, but apparently Intel will also keep the current four PCIe 4.0 lanes for a second CPU connected NVMe SSD, not quite matching AMD's AM5 platform. It's likely that we'll see a similar segmentation like the one AMD has done, so only Z-series chipset motherboards will be able to take advantage of the PCIe 5.0 NVMe lanes, but it's possible some other chipset SKUs from Intel will enable PCIe 5.0 storage support as well.

Report Claims that Intel Raptor Lake Refresh Debuting in October

Chinese tech tipster Enthusiast Citizen (ECSM) has once again posted about upcoming Intel CPU product launches—according to an inside info post (published via Bilibili), Team Blue has possibly scheduled their Raptor Lake Refresh/14th Gen Core K-series for a release window around the 42nd week of 2023 (October 17 - 23). ECSM posits that non-K models will arrive during the first week of 2024, coinciding with January's CES trade event. The Core i7-14700K model is said to feature a new configuration of 8 Performance and 12 Efficiency cores, and current LGA1700 motherboards will most likely require a firmware upgrade to run this specific SKU.

ECSM also seems to have insider information regarding motherboard chipsets for desktop Arrow Lake/15th Gen Core, although they cannot determine an accurate time frame for the (fully new) product launch. Intel Z890, B860 and H810 chipsets are named as possible upcoming candidates for proper next generation CPUs, with H870 allegedly dropped from development. ECSM claims that a competing AMD Zen 5 lineup is not arriving this year—prior insider information was perhaps fabricated. They believe that Storm Peak (Zen 4 Threadripper) is scheduled for Q4 2023, with two unnamed chipsets lined up to accompany this next-gen HEDT platform.

Intel Releases Arrow Lake and Lunar Lake Instruction-set Reference Guide

In a bid to prepare its ISV ecosystem for emerging technologies with future processor microarchitectures, Intel periodically releases instruction-set reference guides. The latest of these was leaked to the web, making their first references to the upcoming "Arrow Lake" and "Lunar Lake" client processor microarchitectures. From the looks of it, Intel is planning a massive push into the client AI acceleration space, starting with the upcoming "Meteor Lake" architecture that debuts later this year. The processor is expected to feature hardware acceleration for AI, with the new AI Boost feature.

The company could build on AI Boost with even more capabilities in the subsequent "Arrow Lake" and "Lunar Lake" microarchitectures. Among the instruction sets relevant to AI deep-learning neural net building and training, are AVX VNNI with INT8, AVX VNNI with INT16, AVX-IFMA, and AVX-NE Convert. There are several new security-relevant instructions, including SHA512, SM3, and SM4. "Lunar Lake" will introduce TSE-PBNDKB (total storage encryption). The ISA Reference Guide can be accessed here.

With PowerVia, Intel Achieves a Chipmaking Breakthrough

Intel is about to turn chipmaking upside down with PowerVia, a new approach to delivering power that required a radical rethink to both how chips are made and how they are tested. For all the modern history of computer chips, they've been built like pizzas—from the bottom up, in layers. In the case of chips, you start with the tiniest features, the transistors, and then you build up increasingly less-tiny layers of wires that connect the transistors and different parts of the chip (these are called interconnects). Included among those top layers are the wires that bring in the power that makes the chip go.

When the chip is done, you flip it over, enclose it in packaging that provides connections to the outer world, and you're ready to put it in a computer. Unfortunately, this approach is running into problems. As they get smaller and denser, the layers that share interconnects and power connections have become an increasingly chaotic web that hinders the overall performance of each chip. Once an afterthought, "now they have a huge impact," says Ben Sell, vice president of Technology Development at Intel and part of the team that brought PowerVia to fruition. In short, power and signals fade, requiring workarounds or simply dumping more power in.

Leaked Intel Roadmap Casts Doubt on Meteor Lake-S Desktop CPU Lineup

The fate of Intel's Meteor Lake-S desktop CPU lineup has been the topic of much debate since the end of last year - at the time, industry tipsters proposed that part of the product range had been disposed of entirely, but several leaks throughout the course of 2023 have indicated that MTL-S processors were on-track for a launch later in the year - albeit restricted to i3 and i5 offerings. An Intel employee has also confirmed that a new SKU naming system will be implemented as part of the upcoming Meteor Lake lineup - although he did not clarify whether this would encompass both mobile and desktop variants.

An alleged Intel client CPU roadmap has made its way onto the internet, and tipsters think that the information on hand shows that Team Blue has pulled the plug on its Meteor Lake-S (6 Performance and 8 Efficiency cores) desktop processors. The presentation slide was likely authored earlier this month - so these developments are relatively fresh, with provisions for Core S, H, PX, M, U & N series. The heavily redacted infographic maps out product release windows going as far forward as Q4 2026. OneRaichu posits that an Arrow Lake-S (6P + 8E) CPU lineup will replace MTL-S. It is possible that Intel's Raptor Lake-S refresh could serve as an interim release this year, since the Arrow Lake generation is expected to arrive in 2024.

Intel Arrow Lake-HX Interposer Appears Online

The Intel Design tools webpage has this week once again provided an early preview of upcoming processors - following on from an LGA1851-MTL-S CPU interposer appearing on the site late last month - indicating that a Meteor Lake-S desktop CPU range was due at some point later in 2023. Intel's latest webpage entry features the "BGA2114-ARL-HX Interposer for the Gen 5 VR Test Tool" with an SKU code that reads: "Q6B2114ARLHX."

The BGA 2114 design points to a mobile processor platform, and industry analysts are fairly certain that Intel is preparing next generation high-end laptop CPUs in the form of its rumored Arrow Lake-HX lineup. This range is set to succeed the 13th generation Core-HX Raptor Lake family of mobile processors. The new BGA package looks to be slightly larger than the closest predecessor, possibly accommodating Intel's new "disaggregated" tile-based (tile is their term for chiplet) internal layout.

Intel Meteor Lake Desktop CPUs Spotted in Presentation, Leak Indicates Core i3 and i5 Only

Following on from yesterday's news of Meteor Lake's "Adamantine" L4 cache another leaky bit of information has popped up. A tipster on Twitter, Bionic_squash, has uploaded a slide from a supposedly official Intel presentation document, and it shows a small selection of Meteor Lake-S and Arrow Lake-S desktop CPUs, as well as the refreshed Raptor Lake-S series. The majority of recent leaks have pointed to laptop variants of Intel's fourteenth generation Core lineup, and not much has emerged about a desktop-dedicated range in a while - prompting further murmurs about Team Blue canning that side of things. The Meteor Lake-S family is still in the works according to the leaked chart and industry experts reckon that a product launch is due later in the year.

By looking closely at the chart, it shows that the Meteor Lake-S desktop processors are limited to 35 and 65 W TDPs, meaning that Core i3 and i5 lines are the only offerings within the 14th generation desktop lineup. Performance enthusiasts will need to look at the 15th gen Arrow Lake-S lineup - where the big i7 and i9 CPUs (up to 125 W) sit, or the refreshed Raptor Lake lineup which also offers a wide range of options - from i3 up to i9. Industry experts are a bit puzzled about Meteor Lake's prospects in the desktop processor sector - when considering a (speculated) skew to more entry-level and mid-range minded customers. Will Intel lose out by not offering more powerful variants, or are they working on a refreshed 14th generation product lineup for 2025?

Intel to Go Ahead with "Meteor Lake" 6P+16E Processor on the Desktop Platform?

Late last year, it was reported that Intel is skipping its upcoming "Meteor Lake" microarchitecture for the desktop platform, giving it a mobile-platform debut in late-2023, with "Arrow Lake" following on in 2024, which would address both platforms. In the interim, Intel was expected to release a "Raptor Lake Refresh" architecture for desktop in 2023. It turns out now, that both the "Raptor Lake Refresh" and "Meteor Lake" architectures are coming to desktop—we just don't know when.

Apparently, Intel will brazen it out against AMD with a maximum CPU core-count of just 6 performance cores and 16 efficiency cores possible for "Meteor Lake." It's just that both the P-cores and a E-cores get an IPC uplift with "Meteor Lake." The processor features up to six "Redwood Cove" P-cores with an IPC uplift over the current "Raptor Cove" cores; and introduce the new "Crestmont" E-cores. A lot will depend on the IPC uplift of the latter. Leaf_hobby, a reliable source with Intel leaks on social media, has some interesting details on the I/O capabilities of "Meteor Lake" on the desktop platform.

Intel Defers 3 nm Wafer Orders with TSMC, Pushes "Arrow Lake" Rollout to 2025?

Intel has reportedly deferred its orders for 3 nm wafers with TSMC, sources in PC makers tell Taiwan-based industry observer DigiTimes. Built on the TSMC N3 node, the wafers were supposed to power the Graphics tiles (containing the iGPU), of the upcoming "Arrow Lake" processors, which were originally on course for a 2024 release. The DigiTimes report detailing this development says that Intel's 3 nm wafer orders have been deferred to Q4-2024, which would realistically mean a 2025 launch for whatever product was designed to use 3 nm tiles. Advance orders for next-gen wafers by high-volume clients such as Intel, are usually placed several quarters in advance, so the foundry could suitably scale up its capacity.

Intel "Raptor Lake Refresh" Meant to Fill in for Scrapped "Meteor Lake" Desktop?

Intel's 2023 roadmap for the desktop processor segment sees the company flesh out its 13th Gen Core "Raptor Lake" desktop family with 65 W (locked) SKUs, and the new i9-13900KS flagship; followed by a new lineup of processors under the "Raptor Lake Refresh" family, due for Q3-2023, with no mentions of a desktop "Meteor Lake" processor in the year. It turns out that "Raptor Lake Refresh" is being designed to fill in for these (i.e. there won't be any "Meteor Lake" desktop chips). This, according to OneRaichu, a reliable source with Intel leaks.

"Meteor Lake" is Intel's first client processor to fully incorporate the company's IDM 2.0 product development strategy of disintegrating the processor into multiple chiplets built on various foundry nodes based on design needs; and combining them onto a single package with a high-performance interconnect. "Meteor Lake" has just one problem and that is CPU core-counts, with rumors pointing to 6P+16E (6 performance cores + 16 efficiency cores) being the maximum core-count possible, something Intel probably feels won't be competitive in the desktop segment against AMD, which will probably have a lineup of "Zen 4" X3D processors out by Q3-2023, with up to 16 P-cores. The company will, however, give "Meteor Lake" a sizable launch in the various mobile segments.

Intel Confirms LGA1851 is its Next Desktop CPU Socket

Intel as part of its development process with industry partners and OEMs, allegedly released technical documents in a bare URL that's worded to confirm that its next desktop processor socket will in fact be the LGA1851. We've had some idea since June 2021 that LGA1851 will succeed LGA1700, but this can be taken as a confirmation. Although with a higher pin-count, the LGA1851 package will be physically of an identical size to LGA1700, with mostly identical socket mechanism, so the new socket could maintain cooler compatibility with its predecessor. The additional 151 pins come from shrinking the "courtyard" (the region of the land grid in the center that lacks pins and instead has some electrical ancillaries).

The new Socket LGA1851 platform is expected to power Intel's "Meteor Lake-S" and "Arrow Lake-S" microarchitectures. Whether "Meteor Lake-S" gets the 14th Gen Core branding is a whole different question. Leaked benchmarks suggest that 2023 will be a rather slow year from Intel in the area of desktop processors, and that toward Q3-2023, the company will release the so-called "Raptor Lake Refresh" processors. These chips are likely built on the same LGA1700 package, and as we've seen from "Coffee Lake Refresh," could warrant a new generational branding to 14th Gen Core (as CFL Refresh formed the 9th Gen Core). Intel could increase clock-speeds, E-core counts, and other process/packaging-level innovations to segment these chips apart from existing 13th Gen Core "Raptor Lake." LGA1851 processors like "Meteor Lake" could debut chiplets for Intel, as these have their CPU cores, iGPU, memory-controllers, and uncore components, spread apart on chiplets built on various foundry nodes.

Intel's Next-Gen Desktop Platform Intros Socket LGA1851, "Meteor Lake-S" to Feature 6P+16E Core Counts

Keeping up with the cadence of two generations of desktop processors per socket, Intel will turn the page of the current LGA1700, with the introduction of the new Socket LGA1851. The processor package will likely have the same dimensions as LGA1700, and the two sockets may share cooler compatibility. The first processor microarchitecture to debut on LGA1851 will be the 14th Gen Core "Meteor Lake-S." These chips will feature a generationally lower CPU core-count compared to "Raptor Lake," but significantly bump the IPC on both the P-cores and E-cores.

"Raptor Lake" is Intel's final monolithic silicon client processor before the company pivots to chiplets built on various foundry nodes, as part of its IDM 2.0 strategy. The client-desktop version of "Meteor Lake," dubbed "Meteor Lake-S," will have a maximum CPU core configuration of 6P+16E (that's 6 performance cores with 16 efficiency cores). The chip has 6 "Redwood Cove" P-cores, and 16 "Crestmont" E-cores. Both of these are expected to receive IPC uplifts, such that the processor will end up faster (and hopefully more efficient) than the top "Raptor Lake-S" part. Particularly, it should be able to overcome the deficit of 2 P-cores.

Intel LGA1851 to Succeed LGA1700, Probably Retain Cooler Compatibility

Intel's next-generation desktop processor socket will be the LGA1851. Leaked documents point to the next-generation socket being of identical dimensions to the current LGA1700, despite the higher pin-count, which could indicate cooler compatibility between the two sockets, much in the same way as the LGA1200 retained cooler-compatibility with prior Intel sockets tracing all the way back to the LGA1156. The current LGA1700 will service only two generations of Intel Core, the 12th Generation "Alder Lake," and the next-gen "Raptor Lake" due for later this year. "Raptor Lake" will be Intel's last desktop processor built on a monolithic silicon, as the company transitions to multi-chip modules.

Intel Socket LGA1851 will debut with the 14th Gen Core "Meteor Lake" processors due for late-2023 or 2024; and will hold out until the 15th Gen "Arrow Lake." Since "Meteor Lake" is a 3D-stacked MCM with a base tile stacked below logic tiles; the company is making adjustments to the IHS thickness to end up with an identical package thickness to the LGA1700, which would be key to cooler-compatibility, besides the socket's physical dimensions. Intel probably added pin-count to the LGA1851 by eating into the "courtyard" (the central gap in the land-grid), because the company states that the pin-pitch hasn't changed from LGA1700.

Intel to Present Meteor/Arrow Lake with Foveros 3D Packaging at Hot Chips 34

Hot Chips 34, the upcoming semiconductor conference from Sunday, August 21 to Tuesday, August 23, 2022, will feature many significant contributions from folks like Intel, AMD, Tesla, and NVIDIA. Today, thanks to Intel's registration at the event, we discovered that the company would present its work on Meteor Lake and Arrow Lake processors with the novel Foveros 3D packaging. The all-virtual presentation from Intel will include talks about Ponte Vecchio GPU and its architecture, system, and software; Meteorlake and Arrowlake 3D Client Architecture Platform with Foveros; and some Xeon D and FPGA presentations. You can see the official website here for a complete list of upcoming talks.

As a little reminder, Meteor Lake is supposed to arrive next year, replacing the upcoming Raptor Lake design, and it has already ahs been pictured, which you can see below. The presentation will be recorded and all content posted on Hot Chips's website for non-attendees to catch up on.

Intel "Meteor Lake" 2P+8E Silicon Annotated

Le Comptoir du Hardware scored a die-shot of a 2P+8E core variant of the "Meteor Lake" compute tile, and Locuza annotated it. "Meteor Lake" will be Intel's first processor to implement the company's IDM 2.0 strategy to the fullest. The processor is a multi-chip module of various tiles (chiplets), each with a certain function, sitting on die made on a silicon fabrication node most suitable to that function. Under this strategy, for example, if Intel's chip-designers calculate that the iGPU will be the most power-hungry component on the processor, followed by the CPU cores, the graphics tile will be built on a more advanced process than the compute tile. Intel's "Meteor Lake" and "Arrow Lake" processors will implement chiplets built on the Intel 4, TSMC N3, and Intel 20A fabrication nodes, each with unique power and transistor-density characteristics. Learn more about the "Meteor Lake" MCM in our older article.

The 2P+8E (2 performance cores + 8 efficiency cores) compute tile is one among many variants of compute tiles Intel will develop for the various SKUs making up the next-generation Core mobile processor series. The die is annotated with the two large "Redwood Cove" P-cores and their cache slices taking up about 35% of the die area; and the two "Crestmount" E-core clusters (each with 4 E-cores), and their cache slices, taking up the rest. The two P-cores and two E-core clusters are interconnected by a Ring Bus, and share an L3 cache. The size of each L3 cache slice is either 2.5 MB or 3 MB. At 2.5 MB, the total L3 cache will be 10 MB, and at 3 MB, it will be 12 MB. As with all past generations, the L3 cache is fully accessible by all CPU cores in the compute tile.

Intel Makes Jilted Reference to Apple in its Internal "Arrow Lake" Slide

Intel is designing a "Halo" SKU of a future generation of mobile processors with a goal to match Apple's in-house silicon of the time. Slated for tape-out some time in 2023, with mass-production expected in 2024, the 15th Generation Core "Arrow Lake-P Halo" processor is being designed specifically to compete with Apple's "premium 14-inch laptop" (presumably the MacBook Pro) that the company could have around 2024, based on an in-house Apple silicon. This is to essentially tell its notebook partners that they will have an SoC capable of making their devices in the class truly competitive. Apple relies on a highly scaled out Arm-based SoC based on in-house IP blocks, with a software that's closely optimized for it. Intel's effort appears to chase down its performance and efficiency.

The Core "Arrow Lake" microarchitecture succeeds the 14th Gen "Meteor Lake." It is a multi-chip module (MCM) of three distinct dies built on different fabrication nodes, in line with the company's IDM 2.0 strategy. These nodes are Intel 4 (comparable to TSMC N7 or N6), Intel 20A (comparable to TSMC N5), and an "external" 3 nm-class node that's just the TSMC N3. The compute tile, or the die which houses the CPU cores, combines a hybrid CPU setup of 6 P-cores, and 8 E-cores. The performance cores are likely successors of the "Redwood Cove" P-cores powering the "Meteor Lake" compute tiles. Intel appears to be using one kind of E-cores across two generations (eg: Gracemont across Alder Lake and Raptor Lake). If this is any indication, Arrow Lake could continue to use "Crestmont" E-cores. Things get interesting with the Graphics tile.

Intel "Meteor Lake" and "Arrow Lake" Use GPU Chiplets

Intel's upcoming "Meteor Lake" and "Arrow Lake" client mobile processors introduce an interesting twist to the chiplet concept. Earlier represented in vague-looking IP blocks, new artistic impressions of the chip put out by Intel shed light on a 3-die approach not unlike the Ryzen "Vermeer" MCM that has up to two CPU core dies (CCDs) talking to a cIOD (client IO die), which handles all the SoC connectivity; Intel's design has one major difference, and that's integrated graphics. Apparently, Intel's MCM uses a GPU die sitting next to the CPU core die, and the I/O (SoC) die. Intel likes to call its chiplets "tiles," and so we'll go with that.

The Graphics tile, CPU tile, and the SoC or I/O tile, are built on three different silicon fabrication process nodes based on the degree of need for the newer process node. The nodes used are Intel 4 (optically 7 nm EUV, but with characteristics of a 5 nm-class node); Intel 20A (characteristics of 2 nm), and external TSMC N3 (3 nm) node. At this point we don't know which tile gets what. From the looks of it, the CPU tile has a hybrid CPU core architecture made up of "Redwood Cove" P-cores, and "Crestmont" E-core clusters.
Return to Keyword Browsing
May 21st, 2024 12:04 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts