News Posts matching #Samsung

Return to Keyword Browsing

Supermicro Accelerates Performance of 5G and Telco Cloud Workloads with New and Expanded Portfolio of Infrastructure Solutions

Supermicro, Inc. (NASDAQ: SMCI), a Total IT Solution Provider for AI, Cloud, Storage, and 5G/Edge, delivers an expanded portfolio of purpose-built infrastructure solutions to accelerate performance and increase efficiency in 5G and telecom workloads. With one of the industry's most diverse offerings, Supermicro enables customers to expand public and private 5G infrastructures with improved performance per watt and support for new and innovative AI applications. As a long-term advocate of open networking platforms and a member of the O-RAN Alliance, Supermicro's portfolio incorporates systems featuring 5th Gen Intel Xeon processors, AMD EPYC 8004 Series processors, and the NVIDIA Grace Hopper Superchip.

"Supermicro is expanding our broad portfolio of sustainable and state-of-the-art servers to address the demanding requirements of 5G and telco markets and Edge AI," said Charles Liang, president and CEO of Supermicro. "Our products are not just about technology, they are about delivering tangible customer benefits. We quickly bring data center AI capabilities to the network's edge using our Building Block architecture. Our products enable operators to offer new capabilities to their customers with improved performance and lower energy consumption. Our edge servers contain up to 2 TB of high-speed DDR5 memory, 6 PCIe slots, and a range of networking options. These systems are designed for increased power efficiency and performance-per-watt, enabling operators to create high-performance, customized solutions for their unique requirements. This reassures our customers that they are investing in reliable and efficient solutions."

Samsung Foundry Reportedly Producing 2 nm Prototypes for Qualcomm

Smartphone chipset industry watchdogs believe that the Samsung 3 nm GAA process did not meet customer expectations, due to alleged yield issues. TSMC is seemingly victorious in this segment, as reports suggest that a next-generation 3 nm node production goal of "100,000 monthly wafers by the end of 2024" has been set. Three days ago, Samsung Foundry revealed that it is working on a very advanced SF2 GAAFET process—press outlets in South Korea propose that the manufacturing giant is hoping to outmuscle its main rival in a future 2 nm node category. Tuesday's press introduction stated that a development partnership is set: "to deliver optimized next generation ARM Cortex -X CPU developed on Samsung Foundry's latest Gate-All-Around (GAA) process technology."

A Sedaily article posits that the company's cutting-edge manufacturing tech has already attracted interest from notable parties: "Samsung Electronics is taking advantage of these advantages to win orders for the 2 nm project. Samsung Electronics took its first step by winning an order to produce a 2 nm AI accelerator from Preferred Networks (PFN), Japan's largest AI company. Qualcomm, the world's largest system semiconductor design company, has entered into discussions with Samsung Electronics' System LSI Division, which designs high-performance chips, to produce 2 nm prototypes." December 2023 news reports suggested that Samsung leadership was considering a 2 nm wafer price discount—in order to stay competitive with competing foundry services. It is possible that Qualcomm is evaluating the 2 nm SF2 GAAFET process for a distant Snapdragon 8 "Gen 5" chipset, while Samsung LSI could be working on a 2 nm "Exynos 2600" SoC design.

Samsung's New Galaxy Book4 Series Available Globally Beginning February 26

Samsung Electronics today announced the Galaxy Book4 series will be available in selected markets starting February 26. The latest premium PC lineup from Samsung delivers intelligent and powerful experiences that bring together highly optimized performance, a vivid touchscreen display and enhanced connectivity. The Galaxy Book4 series, including the Galaxy Book4 Ultra, Galaxy Book4 Pro and Galaxy Book4 Pro 360, launched in Korea on January 2 and experienced record-breaking interest, outselling last year's Galaxy Book3 series by 1.5 times during the first week of sales.

"We're excited for users to experience the intelligence, connectivity and productivity made possible by the Galaxy Book4 series, taking our premium PC lineup to the next level," said TM Roh, President and Head of Mobile eXperience Business at Samsung Electronics. "The Galaxy Book4 series delivers the powerful performance and multi-device connectivity that consumers expect from a high-performance PC in today's market."

Insiders Predict Slimmer Profiles on 2024 iPad Pro OLED Models

Mid-January reportage indicated that LG and Samsung plants in South Korea had commenced construction of next-gen Apple iPad OLED parts—while expert analysis has predicted a second quarter launch of 11 and 13-inch "Pro" tablet models. Omdia—an independent analyst and consultancy firm—has compiled its Apple field research into a forecasted roadmap of various portable products. Company analysts believe that: "LG Display (LGD) and Samsung Display (SDC) are preparing to mass-produce RGB tandem stack and Hybrid OLEDs from their half-Gen 6 fabs. Apple also plans to launch the MacBook Pro with OLED displays in 2026. BOE, LGD, and SDC are preparing their fab investments to produce RGB tandem stack and hybrid OLEDs at half-Gen 8.7 fabs."

9to5Mac's insider network detected whispers of possible Apple tablet physical profile adjustments—suggesting that a larger next-gen iPad Air is in the pipeline, alongside a thinner iPad Pro design update: "(we) first reported last year that Apple has been working on two new versions of the iPad Air, codenamed J507 and J537. While one of these models will look pretty much like the current iPad Air, the other will have a larger display. If true, this will be the first time Apple will offer the iPad Air in two different sizes. And according to our sources, this larger iPad Air will have essentially the same dimensions as the current 12.9-inch iPad Pro, suggesting that the screen size will also be almost identical. The smaller iPad Air is unlikely to have any significant design changes."

Samsung and Square Enix Ready SSD 990 PRO x FFVII Rebirth Collector's Edition

Samsung is partnering with Square Enix to launch a limited collector's edition package of the 990 PRO 2 TB SSD co-branded with Final Fantasy VII Rebirth (FFVII Rebirth). The package consists of a special 180 mm x 180 mm x 175 mm (WxDxH) cuboidal box with branding and imagery from FFVII Rebirth characters. Inside, you'll find a Samsung 990 PRO 2 TB M.2 NVMe SSD with its reference heatsink. The heatsink makes the drive compatible with the PlayStation 5. There are no changes in the specs of the drive—it offers 2 TB of storage with a 2 GB LPDDR4 DRAM cache, and transfer speeds of up to 7450 MB/s sequential reads, and up to 6950 MB/s sequential writes, making it among the fastest Gen 4 SSDs in the market. But that's it with the bundle—you don't actually get the game, but a special edition box that can make for a good background prop if you're streaming. The limited collector's edition is expected to come out some time in March 2024.

Samsung Electronics Collaborates with Arm on Optimized Next Gen Cortex-X CPU Using 2nm SF2 GAAFET Process

Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today announced a collaboration to deliver optimized next generation Arm Cortex -X CPU developed on Samsung Foundry's latest Gate-All-Around (GAA) process technology. This initiative is built on years of partnership with millions of devices shipped with Arm CPU intellectual property (IP) on various process nodes offered by Samsung Foundry.

This collaboration sets the stage for a series of announcements and planned innovation between Samsung and Arm. The companies have bold plans to reinvent 2-nanometer (nm) GAA for next-generation data center and infrastructure custom silicon, and a groundbreaking AI chiplet solution that will revolutionize the future generative artificial intelligence (AI) mobile computing market.

Samsung & Vodafone "Open RAN Ecosystem" Bolstered by AMD EPYC 8004 Series

Samsung Electronics and Vodafone, in collaboration with AMD, today announced that the three companies have successfully demonstrated an end-to-end call with the latest AMD processors enabling Open RAN technology, a first for the industry. This joint achievement represents the companies' technical leadership in enriching the Open RAN ecosystem throughout the industry. Conducted in Samsung's R&D lab in Korea, the first call was completed using Samsung's versatile, O-RAN-compliant, virtualized RAN (vRAN) software, powered by AMD EPYC 8004 Series processors on Supermicro's Telco/Edge servers, supported by Wind River Studio Container-as-a-Service (CaaS) platform. This demonstration aimed to verify optimized performance, energy efficiency and interoperability among partners' solutions.

The joint demonstration represents Samsung and Vodafone's ongoing commitment to reinforce their position in the Open RAN market and expand their ecosystem with industry-leading partners. This broader and growing Open RAN ecosystem helps operators to build and modernize mobile networks with greater flexibility, faster time-to-market (TTM), and unmatched performance. "Open RAN represents the forthcoming major transformation in advancing mobile networks for the future. Reaching this milestone with top industry partners like Samsung and AMD shows Vodafone's dedication to delivering on the promise of Open RAN innovation," said Nadia Benabdallah, Network Strategy and Engineering Director at Vodafone Group. "Vodafone is continually looking to innovate its network by exploring the potential and diversity of the ecosystem."

Samsung Bags 2 nm Wafer Order from Japanese AI Chip Startup

Samsung Electronics foundry has reportedly bagged a mass production order for its cutting edge 2 nm EUV foundry node from Japanese AI chip startup PFN (Preferred Networks). This is reportedly the first major third party order for the 2 nm node. Founded in 2014, PFN specializes in AI and IoT chips, and spun off from Preferred Infrastructure. Samsung's 2 nm node, called the SF2, is on track for delivery of mass produced chips in 2025, which means much of 2024 will be spent on testing, validation, and risk production, with the node expected to go live toward the end of the year. Samsung SF2 is being designed to offer 25% higher power efficiency (at iso-clocks), and 12% increase in performance, over SF3 (3 nm EUV FinFET). In the semiconductor fabrication market, Samsung SF2 competes against TSMC N2 and Intel 20A.

Samsung Announces the Galaxy Tab Active5

Samsung Electronics America has announced the Galaxy Tab Active5, a business-ready ruggedized tablet built to handle the rigors of frontline work. Building on the power of the Galaxy Tab Active3, the Galaxy Tab Active5 delivers significant improvements in performance, durability and security to help businesses conquer their challenges and boost productivity in the field, even in harsh working environments. Additionally, the Galaxy Tab Active5 is available as an Enterprise Edition, making it easy for businesses to enroll, configure, manage and analyze hundreds of devices.

Designed with the needs of retailers in mind, the Galaxy Tab Active5 features a high-resolution camera, near-field communication (NFC) and push-to-talk functionality to enable more efficient barcode-scanning, mobile point-of-sale (mPOS), in-store communication and more. In addition to retail, the Galaxy Tab Active5 also delivers new capabilities in other industries that require a high degree of durability, including foodservice, manufacturing, transportation, construction and the public sector.

NVIDIA to Create AI Semi-custom Chip Business Unit

NVIDIA is reportedly working to set up a new business unit focused on designing semi-custom chips for some of its largest data-center customers, Reuters reports. NVIDIA dominates the AI HPC processor market, although even its biggest customers are having to shop from its general lineup of A100 series and H100 series HPC processors. There are reports of some of these customers venturing out of the NVIDIA fold, wanting to develop their own AI processor designs. It is to cater to exactly this segment that NVIDIA is setting up the new unit.

A semi-custom chip isn't just a bespoke chip designed to a customer's specifications. It is co-developed by NVIDIA and its customer, using mainly NVIDIA IP blocks, but also integrating some third-party IP blocks the customer may want; and more importantly, approach semiconductor fabrication companies such as TSMC, Samsung, or Intel Foundry Services as separate entities from NVIDIA for their wafer allocation. For example, a company like Google may have a certain amount of wafer pre-allocation with TSMC (eg: for its Tensor SoCs powering the Pixel smartphones), which it may want to tap into for a semi-custom AI HPC processor for its cloud business. NVIDIA assesses a $30 billion TAM for this specific business unit—that's all its current customers wanting to pursue their own AI processor projects, who will now be motivated to stick to NVIDIA.

Interposer and Fan-out Wafer Level Packaging Market worth $63.5 billion by 2029: MarketsandMarkets Research

The global interposer and FOWLP market is expected to be valued at USD 35.6 billion in 2024 and is projected to reach USD 63.5 billion by 2029; it is expected to grow at a CAGR of 12.3% during the forecast period according to a new report by MarketsandMarkets. The increasing demand for advanced packaging in AI and high-performance computing (HPC) are the key drivers fueling the expansion of the interposer and FOWLP market.

Interposer-based packaging is experiencing robust growth in the semiconductor industry, leveraging its ability to enhance performance and reduce power consumption by facilitating efficient connections between diverse chip components. This technology is increasingly adopted for its role in enabling high-bandwidth and high-performance applications, driving advancements in data centers, 5G infrastructure, and emerging technologies.

Windows 11 DirectML Preview Supports Intel Core Ultra NPUs

Chad Pralle, Principle Technical Program Manager at Microsoft's Windows AI NPU division has introduced the DirectML 1.13.1 and ONNX Runtime 1.17 APIs—this appears to be a collaborative effort—Samsung was roped in to some degree, according to Microsoft's announcement and a recent Team Blue blog entry. Pralle and his team are suitably proud of this joint effort that involved open source models: "we are excited to announce developer preview support for NPU acceleration in DirectML, the machine learning platform API for Windows. This developer preview enables support for a subset of models on new Windows 11 devices with Intel Core Ultra processors with Intel AI boost."

Further on in Microsoft's introductory piece, Samsung Electronics is announced as a key launch partner—Hwang-Yoon Shim, VP and Head of New Computing H/W R&D Group stated that: "NPUs are emerging as a critical resource for broadly delivering efficient machine learning experiences to users, and Windows DirectML is one of the most efficient ways for Samsung's developers to make those experiences for Windows." Microsoft notes that NPU support in DirectML is still "a work in progress," but Pralle and his colleagues are eager to receive user feedback from the testing community. It is currently "only compatible with a subset of machine learning models, some models may not run at all or may have high latency or low accuracy." They hope to implement improvements in the near future. The release is limited to modern Team Blue hardware, so NPU-onboard AMD devices are excluded at this point in time, naturally.

Samsung Lands Significant 2 nm AI Chip Order from Unnamed Hyperscaler

This week in its earnings call, Samsung announced that its foundry business has received a significant order for a two nanometer AI chips, marking a major win for its advanced fabrication technology. The unnamed customer has contracted Samsung to produce AI accelerators using its upcoming 2 nm process node, which promises significant gains in performance and efficiency over today's leading-edge chips. Along with the AI chips, the deal includes supporting HBM and advanced packaging - indicating a large-scale and complex project. Industry sources speculate the order may be from a major hyperscaler like Google, Microsoft, or Alibaba, who are aggressively expanding their AI capabilities. Competition for AI chip contracts has heated up as the field becomes crucial for data centers, autonomous vehicles, and other emerging applications. Samsung said demand recovery in 2023 across smartphones, PCs and enterprise hardware will fuel growth for its broader foundry business. It's forging ahead with 3 nm production while eyeing 2 nm for launch around 2025.

Compared to its 3 nm process, 2 nm aims to increase power efficiency by 25% and boost performance by 12% while reducing chip area by 5%. The new order provides validation for Samsung's billion-dollar investments in next-generation manufacturing. It also bolsters Samsung's position against Taiwan-based TSMC, which holds a large portion of the foundry market share. TSMC landed Apple as its first 2 nm customer, while Intel announced 5G infrastructure chip orders from Ericsson and Faraday Technology using its "Intel 18A" node. With rivals securing major customers, Samsung is aggressively pricing 2 nm to attract clients. Reports indicate Qualcomm may shift some flagship mobile chips to Samsung's foundry at the 2 nm node, so if the yields are good, the node has a great potential to attract customers.

TSMC Overtakes Intel and Samsung to Become World's Largest Semiconductor Maker by Revenue

Taiwan Semiconductor Manufacturing Company (TSMC) has reached a significant milestone, overtaking Intel and Samsung to become the world's largest semiconductor maker by revenue. According to Taiwanese financial analyst Dan Nystedt, TSMC earned $69.3 billion in revenue in 2023, surpassing Intel's $63 billion and Samsung's $58 billion. This is a remarkable achievement for the Taiwanese chipmaker, which has historically lagged behind Intel and Samsung in terms of revenue despite being the world's largest semiconductor foundry. TSMC's meteoric rise has been fueled by the increased demand for everything digital - from PCs to game consoles - during the coronavirus pandemic in 2020, and AI demand in the previous year. With its cutting-edge production capabilities allowing it to manufacture chips using the latest process technologies, TSMC has pulled far ahead of Intel and Samsung and can now charge a premium for its services.

This is reflected in its financials. For the 6th straight quarter, TSMC's Q4 2023 revenue of $19.55 billion also beat Intel's $15.41 billion and Samsung's $16.42 billion chip division revenue. As the world continues its rapid transformation in the AI era of devices, TSMC looks set to hold on to its top position for the foreseeable future. Its revenue and profits will likely continue to eclipse those of historical giants like Intel and Samsung. However, a big contender is Intel Foundry Services, which is slowly starting to gain external customers. If IFS takes off and new customers start adopting Intel as their foundry of choice, team blue could regain leadership in the coming years.

Global Monitor Market Set for Recovery in 2024, with Shipments Projected to Increase by 2%

TrendForce's latest findings reveal global monitor shipments declined 7.3% in 2023 down to 125 million units—a figure below pre-pandemic levels. Looking ahead to 2024, given the low shipment base in 2023, alongside the potential for a gradual economic recovery and the typical 4 to 5-year PC replacement cycle, PCs purchased during the pandemic are expected to be upgraded between the second half of 2024 and 2025. This is anticipated to drive a 2% increase in global monitor shipments in 2024, reaching approximately 128 million units.

The top three commercial monitor brands experienced over twenty percent decline in shipments
A surge in unfulfilled business orders in Europe and America in the first half of 2022 elevated the shipment baseline for commercial brands that year. However, by 2023, commercial market demand saw a significant contraction, leading to a YoY shipment decrease of 20.4% for Dell, 20.7% for HP, and 21.4% for Lenovo—each dropping by over twenty percent.

Qualcomm & Samsung Sign Extended Multi-year Snapdragon Deal

Cristiano Renno Amon, President and CEO of Qualcomm, discussed the successful launch of his company's Snapdragon 8 Gen 3 mobile platform during a January 31 Earnings Call—expectations have been set high for the flagship smartphone chipset: "(bringing) a new standard for on-device gen AI experiences for premium smartphones and powers all through flagship Android devices launched and launching this fiscal year." Amon highlighted Samsung's recently rolled out Galaxy S24 Ultra range, that makes use of a special "For Galaxy" Snapdragon 8 Gen 3 SoC. Industry watchdogs have continued to question Samsung's reliance on third-party processor solutions (including MediaTek Dimensity parts), despite having access to plenty of "worthy" in-house technology. Their flagship Exynos 2400 chip has been deployed with the Galaxy S24 Plus range, but Qualcomm Snapdragon-equipped devices offer better performance and efficiency.

The picky segment of Samsung's smartphone userbase will be pleased to hear about a renewed agreement between it and Qualcomm, which includes a trickling down to mid-range offerings—Amon made a big announcement during the late January conference call: "We're also announcing that we extended a multi-year agreement with Samsung relating to Snapdragon platforms for flagship Galaxy smartphone launches starting in 2024. The extended agreement demonstrates the value of Snapdragon 8, our technology leadership and our successful long-term strategic partnership with Samsung. In the quarter, we also announced the Snapdragon 7 Gen 3 mobile platform, which brings leading gen AI capabilities to high-tier Android smartphones and is a category leader in both experiences and performance." Going forward, Samsung is likely sticking with its current operating model of peppering a mix of Snapdragon and Exynos chipsets throughout its Galaxy Z, S and A product ranges.

SK Hynix Targets HBM3E Launch This Year, HBM4 by 2026

SK Hynix has unveiled ambitious High Bandwidth Memory (HBM) roadmaps at SEMICON Korea 2024. Vice President Kim Chun-hwan announced plans to mass produce the cutting-edge HBM3E within the first half of 2024, touting 8-layer stack samples already supplied to clients. This iteration makes major strides towards fulfilling surging data bandwidth demands, offering 1.2 TB/s per stack and 7.2 TB/s in a 6-stack configuration. VP Kim Chun-hwan cites the rapid emergence of generative AI, forecasted for 35% CAGR, as a key driver. He warns that "fierce survival competition" lies ahead across the semiconductor industry amidst rising customer expectations. With limits approaching on conventional process node shrinks, attention is shifting to next-generation memory architectures and materials to unleash performance.

SK Hynix has already initiated HBM4 development for sampling in 2025 and mass production the following year. According to Micron, HBM4 will leverage a wider 2048-bit interface compared to previous HBM generations to increase per-stack theoretical peak memory bandwidth to over 1.5 TB/s. To achieve these high bandwidths while maintaining reasonable power consumption, HBM4 is targeting a data transfer rate of around 6 GT/s. The wider interface and 6 GT/s speeds allow HBM4 to push bandwidth boundaries significantly compared to prior HBM versions, fueling the need for high-performance computing and AI workloads. But power efficiency is carefully balanced by avoiding impractically high transfer rates. Additionally, Samsung is aligned on a similar 2025/2026 timeline. Beyond pushing bandwidth boundaries, custom HBM solutions will become increasingly crucial. Samsung executive Jaejune Kim reveals that over half its HBM volume already comprises specialized products. Further tailoring HBM4 to individual client needs through logic integration presents an opportunity to cement leadership. As AI workloads evolve at breakneck speeds, memory innovation must keep pace. With HBM3E prepping for launch and HBM4 in the plan, SK Hynix and Samsung are gearing up for the challenges ahead.

Samsung Showcases B2B Displays with Advanced Connectivity at ISE 2024

Samsung Electronics today at Integrated Systems Europe (ISE) 2024 in Barcelona is showcasing how SmartThings will bolster its B2B displays to shape the future of business connectivity. Samsung's "SmartThings for Business" exhibition emphasizes the new advancements that the cutting-edge internet-of-things (IoT) platform will offer, as well as Samsung's commitment to providing more connected, easy-to-control digital signage across industries. "In a commercial display sector where operational efficiency is key, Samsung digital signage is leveraging SmartThings to deliver next-gen connectivity and features to organizations of all sizes," said SW Yong, President and Head of Visual Display Business at Samsung Electronics. "This further expansion of the SmartThings ecosystem will serve to elevate experiences for customers and partners from a wide variety of industries."

How Businesses Can Leverage Connected Tech Through SmartThings—From the Smart Store to Smart Office
At the event, Samsung is showcasing how SmartThings enables business owners to leverage their digital signage to connect and gain more control of their smart devices across various landscapes. By offering the SmartThings connectivity feature to commercial display products such as Smart Signage and Hotel TVs, users can experience the convenience of hyper-connectivity in their business environments. These changes will include Samsung smart devices, as well as other devices that support the industry's latest IoT specifications, Matter and the Home Connectivity Alliance (HCA). Through the application of SmartThings to various business environments, Samsung contributes to the more efficient management of space and energy by transforming places of business into interconnected smart spaces. These connectivity improvements have been designed to benefit all types of business customers, from small and mid-sized business owners to enterprises. Examples of the smart spaces—including a smart store, smart office and smart hotel—are on display at Samsung's booth at ISE 2024.

Samsung to Also Showcase 280-layer 3D QLC NAND Flash, 32 Gbit DDR5-8000 Memory Chips at IEEE-SSCC

In addition to the 37 Gbps GDDR7 memory, Samsung Electronics prepares to showcase several other memory innovations at the 2024 IEEE-SSCC as compiled by VideoCardz. To begin with, the company is showcasing a new 280-layer 3D QLC NAND flash memory in the 1 Tb density, enabling next generation of mainstream SSDs and smartphone storage. This chip offers an areal density of 28.5 Gb/mm², and a speed of 3.2 GB/s. To put this into perspective, the fastest 3D NAND flash types powering the current crop of flagship NVMe SSDs rely on 2.4 GB/s of I/O data rates.

Next up, is a new generation DDR5 memory chip offers data rates of DDR5-8000 with a density of 32 Gbit (4 GB). This chip uses a symmetric-mosaic DRAM cell architecture, and is built on a 5th generation 10 nm class foundry node Samsung optimized for DRAM products. What's impressive about this chip is that it will allow PC memory vendors to build 32 GB and 48 GB DIMMs in single-rank configuration with DDR5-8000 speeds; as well as 64 GB and 96 GB DIMMs in dual-rank configuration (impressive, provided your platform can play well with DDR5-8000 in dual-rank).

Samsung to Demo 37 Gbps GDDR7 Memory Next Month

Samsung Electronics will demonstrate its next generation GDDR7 memory chips at the IEEE Solid State Circuit Conference (SSCC), to be held in San Francisco in February. The company had teased GDDR7 way back at its Tech Day in 2022. The GDDR7 memory standard is targeted squarely at graphics cards and game consoles, it offers a 2x bandwidth gain over the current GDDR6. Samsung is expected to showcase a GDDR7 chip that's capable of 37 Gbps data-rate, with 16 Gbit (2 GB) density.

The GDDR7 memory standard leverages PAM3 signaling to achieve these high data-rates. The current GDDR6 standard uses NRZ signaling, while its off-shoot GDDR6X co-developed by NVIDIA and Micron Technology relies on PAM4 signaling. The standard also features four read clock modes, which should help with power management when the device is idling. Both NVIDIA and AMD are expected to implement GDDR7 with their next-generation GPUs. GDDR7 enters mass-production this year, and will feature in NVIDIA's GeForce RTX 50-series "Blackwell" graphics cards, as well as AMD Radeon RX 8000 series RDNA4.

Intel Lunar Lake-MX to Embed Samsung LPDDR5X Memory on SoC Package

According to sources close to Seoul Economy, and reported by DigiTimes, Intel has reportedly chosen Samsung as a supplier for its next-generation Lunar Lake processors, set to debut later this year. The report notes that Samsung will provide LPDDR5X memory devices for integration into Intel's processors. This collaboration could be a substantial win for Samsung, given Intel's projection to distribute millions of Lunar Lake CPUs in the coming years. However, it's important to note that this information is based on a leak and has not been officially confirmed. Designed for ultra-portable laptops, the Lunar Lake-MX platform is expected to feature 16 GB or 32 GB of LPDDR5X-8533 memory directly on the processor package. This on-package memory approach aims to minimize the platform's physical size while enhancing performance over traditional memory configurations. With Lunar Lake's exclusive support for on-package memory, Samsung's LPDDR5X-8533 products could significantly boost sales.

While Samsung is currently in the spotlight, it remains unclear if it will be the sole LPDDR5X memory provider for Lunar Lake. Intel's strategy involves selling processors with pre-validated memory, leaving the door open for potential validation of similar memory products from competitors like Micron and SK Hynix. Thanks to a new microarchitecture, Intel has promoted its Lunar Lake processors as a revolutionary leap in performance-per-watt efficiency. The processors are expected to utilize a multi-chipset design with Foveros technology, combining CPU and GPU chipsets, a system-on-chip tile, and dual memory packages. The CPU component is anticipated to include up to eight cores, a mix of four high-performance Lion Cove and four energy-efficient Skymont cores, alongside advanced graphics, cache, and AI acceleration capabilities. Apple's use of on-package memory in its M-series chips has set a precedent in the industry, and with Intel's Lunar Lake MX, this trend could extend across the thin-and-light laptop market. However, systems requiring more flexibility in terms of configuration, repair, and upgrades will likely continue to employ standard memory solutions like SODIMMs and/or the new CAMM2 modules that offer a balance of high performance and energy efficiency.

Samsung Introduces the 990 EVO SSD with PCIe 5.0 x2 Interface

Samsung Electronics America today announced the release of the 990 EVO SSD, delivering solid performance for everyday tasks, improved interface compatibility to match the systems consumers own, and better energy efficiency. Designed to enhance everyday computing experiences like gaming, working, and video/photo editing, the NVMe SSD provides an ideal solution for a diverse range of users.

"The 990 EVO delivers a hybrid storage solution that lets you easily bring flexibility and future proof your setup," said Jose Hernandez, Senior Director of Memory Product Marketing at Samsung. "These drives balance performance, power efficiency and reliability, making them a versatile choice for the latest interface, and great for a variety of everyday tasks, like gaming, working, editing and more."

Qualcomm Announces Snapdragon 8 Gen 3 Mobile Platform for Galaxy S24 Series

Qualcomm Technologies, Inc. announced that the premium Snapdragon 8 Gen 3 Mobile Platform for Galaxy is powering Samsung Electronics Co. Ltd.'s latest flagship Galaxy S24 Ultra globally and Galaxy S24 Plus and S24 in select regions. The Samsung Galaxy S24 series introduces Galaxy AI, taking advantage of the new and enhanced Snapdragon 8 Gen 3 for Galaxy, the titan of intelligence and leader in performance and power efficiency.

"We're proud to continue working alongside Samsung to lead this new era for the mobile industry and provide consumers with extraordinary premium experiences," said Chris Patrick, senior vice president and general manager of mobile handset, Qualcomm Technologies, Inc. "Snapdragon 8 Gen 3 for Galaxy instills its advanced AI capabilities in the Galaxy S24 series, to enable new experiences with AI features to empower users' everyday life. It also fuels advanced professional-quality camera, gaming experiences and ultra-fast connectivity including Wi-Fi 71, plus offers one of the most reliable authentication solutions available with our Qualcomm 3D Sonic Gen 2 technology."

Price War Reportedly Unfolds Between Foundries in China, Taiwan & South Korea

News reports from Asia point to an ongoing price battle between major chip foundries in the region—sluggish market conditions in 2023 have caused the big industry names to adjust charges, in concerted efforts to retain customers. This situation has escalated in early 2024—news media outlets claim that mainland China-situated factories have plenty of new production capacity, and are therefore eager to get their order books filled. The reports point to: "Semiconductor Manufacturing International Corporation (SMIC), Hua Hong Semiconductor and Jinghe Semiconductor lowering the price of tape-out services to chip design companies in Taiwan." Industry insiders believe that several Taiwanese IC designers have jumped onto better deals, as offered by Chinese facilities—it is alleged that Samsung, GlobalFoundries, UMC and Powerchip have all experienced a worrying increase in customer cancellations (at the tail end of 2023). The loss of long-term clients has forced manufacturers—in South Korea and Taiwan—into a price war.

TrendForce's analysis of market trends stated: "Due to the mature manufacturing processes in China, unaffected by US export restrictions, the lowered wafer fabrication costs have become attractive to Taiwanese IC design companies seeking to enhance their cost competitiveness. Reports also indicate that this competitive pressure has forced Taiwan's foundries, UMC and PSMC, to follow suit by reducing their prices. UMC has lowered its 12-inch wafer foundry services by an average of 10-15%, while its 8-inch wafer services have seen an average price reduction of 20%. These price adjustments took effect in the fourth quarter of 2023." Samsung is reportedly slashing prices by ~10-15%, and is expressing a "willingness to negotiate" with key clients in early 2024. Reports state this is a major change in attitude for the South Korean chip giant—allegedly, leadership was unwilling to budge on 2023 tape-out costs. TrendForce reckons that TSMC's response was a bit quicker: "(having) already initiated pricing concessions last year, mainly related to mask costs rather than wafer fabrication. It was reported that these concessions primarily applied to the 7 nm process and were dependent on order volumes."

Samsung Announces the Galaxy S24 Series with Mobile AI

Samsung Electronics today unveiled the Galaxy S24 Ultra, Galaxy S24+ and Galaxy S24, unleashing new mobile experiences with Galaxy AI. Galaxy S series leads the way into a new era that will forever change how mobile devices empower users. AI amplifies nearly every experience on Galaxy S24 series, from enabling barrier-free communication with intelligent text and call translations, to maximizing creative freedom with Galaxy's ProVisual Engine, to setting a new standard for search that will change how Galaxy users discover the world around them.

"The Galaxy S24 series transforms our connection with the world and ignites the next decade of mobile innovation," said TM Roh, President and Head of Mobile eXperience (MX) Business at Samsung Electronics. "Galaxy AI is built on our innovation heritage and deep understanding of how people use their phones. We're excited to see how our users around the world empower their everyday lives with Galaxy AI to open up new possibilities."
Return to Keyword Browsing
May 21st, 2024 07:41 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts