News Posts matching #Electronics

Return to Keyword Browsing

Samsung Begins Industry's First Mass Production of QLC 9th-Gen V-NAND

Samsung Electronics, the world leader in advanced memory technology, today announced it has begun mass production of its one-terabit (Tb) quad-level cell (QLC) 9th-generation vertical NAND (V-NAND).

With the industry's first mass production of QLC 9th-generation V-NAND, following the industry's first triple-level cell (TLC) 9th-generation V-NAND production in April this year, Samsung is solidifying its leadership in the high-capacity, high-performance NAND flash market.

Sony Launches MDR-M1 Closed Monitor Headphones with High Sound Isolation

Sony Electronics Inc. today announced the MDR-M1 Reference Closed Monitor Headphones, designed for music creators and sound engineers to produce music in any environment, heard as intended. The headphones host a closed acoustic structure with high sound isolation, exclusively developed driver, and a lightweight and comfortable design that allow users to create in their own environments, as if they were in the studio. The MDR-M1 headphones combine studio sound quality with extreme comfort and reliability, suitable for a wide range of music production and high-resolution audio applications.

Sound Characteristics
The MDR-M1 offers studio sound quality with a carefully tuned acoustic structure to support a wide range of music production, all while offering high-resolution audio. At the core of the sound quality is a uniquely developed driver unit that achieves ultra-wideband playback (5 Hz - 80 kHz), featuring a combination of a soft edge shape to reproduce low frequencies with sufficient volume and low distortion, and a hard dome shape to accurately reproduce ultra-high frequencies.

Sharp Launches X141Q 4K UHD Laser Projector

Sharp Imaging and Information Company of America (SIICA), a division of Sharp Electronics Corporation (SEC), today announced the launch of the new Sharp XP-X141Q RB laser projector. The new model is a high-end addition to the Installation PJ category, adding to Sharp's strong lineup of projectors, including the recently announced P series.

Providing 4K UHD images, the X141Q creates maximum detail and color reproduction, bringing content to life with 13,500 lumens for the ultimate viewing experience. The projectors are designed for applications requiring high brightness and are ideal for corporate conference rooms, higher education classrooms, museums, houses of worship, financial institutions, and more.

Sony Introduces Two New 4K HDR Laser BRAVIA Projectors with XR Processor

Sony Electronics Inc. today announced two new native 4K laser home cinema projectors, the BRAVIA Projector 8 and BRAVIA Projector 9. Both are the first projectors to include the XR Processing technology, Sony's cutting-edge BRAVIA TV video processing, enabling powerful picture features made for the movie buffs, sports fans, and avid gamers.

The new projectors are the first to join Sony's comprehensive BRAVIA home cinema line-up, made available alongside the BRAVIA TVs and BRAVIA Theatre home audio products. BRAVIA is designed to enhance the authenticity of cinematic content, delivering impressive picture and sound at home as the filmmakers intended. These new projectors offer exceptional image clarity, color, and contrast as well as professional-grade visual experiences inside the home.

xMEMS Introduces 1mm-Thin Active Micro-Cooling Fan on a Chip

xMEMS Labs, developers of the foremost platform for piezoMEMS innovation and creators of the world's leading all-silicon micro speakers, today announced its latest industry-changing innovation: the xMEMS XMC-2400 µCooling chip, the first-ever all-silicon, active micro-cooling fan for ultramobile devices and next-generation artificial intelligence (AI) solutions.

For the first time, with active, fan-based micro-cooling (µCooling) at the chip level, manufacturers can integrate active cooling into smartphones, tablets, and other advanced mobile devices with the silent, vibration-free, solid-state xMEMS XMC-2400 µCooling chip, which measures just 1-millimeter thin.

Samsung to Install High-NA EUV Machines Ahead of TSMC in Q4 2024 or Q1 2025

Samsung Electronics is set to make a significant leap in semiconductor manufacturing technology with the introduction of its first High-NA 0.55 EUV lithography tool. The company plans to install the ASML Twinscan EXE:5000 system at its Hwaseong campus between Q4 2024 and Q1 2025, marking a crucial step in developing next-generation process technologies for logic and DRAM production. This move positions Samsung about a year behind Intel but ahead of rivals TSMC and SK Hynix in adopting High-NA EUV technology. The system is expected to be operational by mid-2025, primarily for research and development purposes. Samsung is not just focusing on the lithography equipment itself but is building a comprehensive ecosystem around High-NA EUV technology.

The company is collaborating with several key partners like Lasertec (developing inspection equipment for High-NA photomasks), JSR (working on advanced photoresists), Tokyo Electron (enhancing etching machines), and Synopsys (shifting to curvilinear patterns on photomasks for improved circuit precision). The High-NA EUV technology promises significant advancements in chip manufacturing. With an 8 nm resolution capability, it could make transistors about 1.7 times smaller and increase transistor density by nearly three times compared to current Low-NA EUV systems. However, the transition to High-NA EUV comes with challenges. The tools are more expensive, costing up to $380 million each, and have a smaller imaging field. Their larger size also requires chipmakers to reconsider fab layouts. Despite these hurdles, Samsung aims for commercial implementation of High-NA EUV by 2027.

Samsung Electronics Begins Mass Production of Industry's Thinnest LPDDR5X DRAM Packages

Samsung Electronics, the world leader in advanced memory technology, today announced it has begun mass production for the industry's thinnest 12 nanometer (nm)-class, 12-gigabyte (GB) and 16 GB LPDDR5X DRAM packages, solidifying its leadership in the low-power DRAM market. Leveraging its extensive expertise in chip packaging, Samsung is able to deliver ultra-slim LPDDR5X DRAM packages that can create additional space within mobile devices, facilitating better airflow. This supports easier thermal control, a factor that is becoming increasingly critical especially for high-performance applications with advanced features such as on-device AI.

"Samsung's LPDDR5X DRAM sets a new standard for high-performance on-device AI solutions, offering not only superior LPDDR performance but also advanced thermal management in an ultra-compact package," said YongCheol Bae, Executive Vice President of Memory Product Planning at Samsung Electronics. "We are committed to continuous innovation through close collaboration with our customers, delivering solutions that meet the future needs of the low-power DRAM market."

Renesas Completes Acquisition of Altium for $5.9bn

Renesas Electronics Corporation, a supplier of advanced semiconductor solutions, and Altium Limited ("Altium"), a global leader in electronics design systems, today announced the successful completion of the acquisition of Altium by Renesas. The definitive agreement to acquire Altium was announced on February 15, 2024.

The combination sets the foundation for Renesas and Altium to create an innovative electronics system design and lifecycle management platform. The platform will deliver integration and standardization of various electronic design data and functions and enhanced component lifecycle management, while enabling seamless digital iteration of design processes to increase overall productivity. This brings significantly faster innovation and lowers barriers to entry for system designers by reducing development resources and inefficiencies.

Samsung Electronics Announces Results for Second Quarter of 2024

Samsung Electronics today reported financial results for the second quarter ended June 30, 2024. The Company posted KRW 74.07 trillion in consolidated revenue and operating profit of KRW 10.44 trillion as favorable memory market conditions drove higher average sales price (ASP), while robust sales of OLED panels also contributed to the results.

Memory Market Continues To Recover; Solid Second Half Outlook Centered on Server Demand
The DS Division posted KRW 28.56 trillion in consolidated revenue and KRW 6.45 trillion in operating profit for the second quarter. Driven by strong demand for HBM as well as conventional DRAM and server SSDs, the memory market as a whole continued its recovery. This increased demand is a result of the continued AI investments by cloud service providers and growing demand for AI from businesses for their on-premise servers.

Samsung Electronics To Provide Turnkey Semiconductor Solutions With 2nm GAA Process and 2.5D Package to Preferred Networks

Samsung Electronics, a world leader in advanced semiconductor technology, today announced that it will provide turnkey semiconductor solutions using the 2-nanometer (nm) foundry process and the advanced 2.5D packaging technology Interposer-Cube S (I-Cube S) to Preferred Networks, a leading Japanese AI company.

By leveraging Samsung's leading-edge foundry and advanced packaging products, Preferred Networks aims to develop powerful AI accelerators that meet the ever-growing demand for computing power driven by generative AI.

Samsung Strike Has No Immediate Impact on Memory Production, with No Shipment Shortages

The Samsung Electronics Union is reportedly planning to strike on June 7, TrendForce reports that this strike will not impact DRAM and NAND Flash production, nor will it cause any shipment shortages. Additionally, the spot prices for DRAM and NAND Flash had been declining prior to the strike announcement, and there has been no change in this downtrend since the announcement.

Samsung's global share of DRAM and NAND Flash output in 2023 was 46.8% and 32.4%, respectively. Even though the South Korean plants account for all 46.8% of global DRAM production and about 17.8% of global NAND Flash production, TrendForce identifies four reasons why this strike will not impact production. Firstly, the strike involves employees at Samsung's headquarters in Seocho, Seoul, where union participation in higher, but these employees do not directly engage in production. Secondly, this strike is planned for only one day, which falls within the flexible scheduling range for production.

LG Expands its Tone Free Earbud Line-up with the T80

LG Electronics USA today announced U.S. pricing and availability of its TONE Free T80 true wireless earbuds. Continuing its commitment to the development of excellent sound experiences - the T80 introduces an upgraded design and additional user-centric features. The new earbud retails for $199 and is now available to purchase exclusively on LG.com.

Crafted with a reinforced graphene speaker driver, the T80 improves the audio experience to offer well-balanced sound with vibrant bass and enhanced mid- and high-range frequencies. It also boasts an IPX4 water-resistance rating, and with the addition of medical-grade ear gels and up to 36 hours of battery life with the charging case, users can enjoy all-day comfort at the gym, on their commute, or whenever they want to tune out.

Toshiba Completes New 300-Millimeter Wafer Fabrication Facility for Power Semiconductors

Toshiba Electronic Devices & Storage Corporation ("Toshiba") today held a ceremony to mark the completion of a new 300-millimeter wafer fabrication facility for power semiconductors and an office building at Kaga Toshiba Electronics Corporation in Ishikawa Prefecture, Japan, one of Toshiba's key group companies. The completion of construction is a major milestone for Phase 1 of Toshiba's multi-year investment program. Toshiba will now proceed with equipment installation, toward starting mass production in the second half of fiscal year 2024. Once Phase 1 reaches full-scale operation, Toshiba's production capacity for power semiconductors, mainly MOSFETs and IGBTs, will be 2.5 times that of fiscal 2021, when the investment plan was made. Decisions on the construction and start of operation of Phase 2 will reflect market trends.

The new manufacturing building follows and will make a major contribution to Toshiba's Business Continuity Plan (BCP): it has a seismic isolation structure that absorbs earthquake shock and redundant power sources. Energy from renewable source and solar panels on the roof of the building (onsite PPA model) will allow the facility to meet 100% of its power requirement with renewable energy.

LG's Announces New TONE Free Wireless Earbuds With Pure Graphene for Exceptional Audio

LG Electronics (LG) is introducing the company's latest TONE Free T90S model to the global market, designed to offer impressive sound for a heightened listening experience. The new T90S earbuds are enhanced by pure graphene, boast an even more comfortable fit and possess a range of useful features essential for elevated on-the-go listening pleasure.

Delivering an enriched audio experience with improved accuracy and clarity, the newest T90S earbuds use pure graphene for drivers, a departure from the conventional use of coated graphene. The lightweight and sustainable material is widely known for boosting performance and efficiency in advanced technologies such as semiconductors and solar cells. The use of pure graphene in LG's latest earbuds reduces vibrations and allows users to revel in well-balanced and precise sound with powerful bass and enhanced mid- and high-range frequencies. The T90S earbuds are designed to take full advantage of graphene's lightweight nature, ensuring a cozy fit that ensures comfort for prolonged listening.

Shipments of OLED Monitors Hit 200,000 Units in 1Q24, Annual Forecast to Reach 1.34 Million

TrendForce's latest report reveals a robust start to 2024 for OLED monitors, with shipments reaching approximately 200,000 units in the first quarter—marking a YoY growth of 121%. The momentum is expected to continue into the second quarter, which is set to see quarterly growth of 52% as new models hit the market, bringing the total for the first half to 500,000 units. With brands ramping up investments and panel makers launching new products alongside aggressive promotions, annual shipments are projected to soar to 1.34 million units, achieving an impressive 161% growth rate.

Samsung leads the market share in the first quarter with 36%, driven by strong sales of its 49-inch models which offer a significant cost-performance advantage—being only 20% more expensive than their LCD counterparts. Notably, Samsung's plan to introduce 27-inch and 31.5-inch models in Q2, which are expected to further boost its OLED shipments.

Altair SimSolid Transforms Simulation for Electronics Industry

Altair, a global leader in computational intelligence, announced the upcoming release of Altair SimSolid for electronics, bringing game-changing fast, easy, and precise multi-physics scenario exploration for electronics, from chips, PCBs, and ICs to full system design. "As the electronics industry pushes the boundaries of complexity and miniaturization, engineers have struggled with simulations that often compromise on detail for expediency. Altair SimSolid will empower engineers to capture the intricate complexities of PCBs and ICs without simplification," said James R. Scapa, founder and chief executive officer, Altair. "Traditional simulation methods often require approximations when analyzing PCB structures due to their complexity. Altair SimSolid eliminates these approximations to run more accurate simulations for complex problems with vast dimensional disparities."

Altair SimSolid has revolutionized conventional analysis in its ability to accurately predict complex structural problems with blazing-fast speed while eliminating the complexity of laborious hours of modeling. It eliminates geometry simplification and meshing, the two most time-consuming and expertise-intensive tasks done in traditional finite element analysis. As a result, it delivers results in seconds to minutes—up to 25x faster than traditional finite element solvers—and effortlessly handles complex assemblies. Having experienced fast adoption in the aerospace and automotive industries, two sectors that typically experience challenges associated with massive structures, Altair SimSolid is poised to play a significant role in the electronics market. The initial release, expected in Q2 2024, will support structural and thermal analysis for PCBs and ICs with full electromagnetics analysis coming in a future release.

Samsung Foundry Renames 3 nm Process to 2 nm Amid Competition with Intel

In a move that could intensify competition with Intel in the cutting-edge chip manufacturing space, Samsung Foundry has reportedly decided to rebrand its second-generation 3 nm-class fabrication technology, previously known as SF3, to a 2 nm-class manufacturing process called SF2. According to reports from ZDNet, the renaming of Samsung's SF3 to SF2 is likely an attempt by the South Korean tech giant to simplify its process nomenclature and better compete against Intel Foundry, at least visually. Intel is set to roll out its Intel 20A production node, a 2 nm-class technology, later this year. The reports suggest that Samsung has already notified its customers about the changes in its roadmap and the renaming of SF3 to SF2. Significantly, the company has reportedly gone as far as re-signing contracts with customers initially intended to use the SF3 production node.

"We were informed by Samsung Electronics that the 2nd generation 3 nm [name] is being changed to 2 nm," an unnamed source noted to ZDNet. "We had contracted Samsung Foundry for the 2nd generation 3 nm production last year, but we recently revised the contract to change the name to 2 nm." Despite the name change, Samsung's SF3, now called SF2, has not undergone any actual process technology alterations. This suggests that the renaming is primarily a marketing move, as using a different process technology would require customers to rework their chip designs entirely. Samsung intends to start manufacturing chips based on the newly named SF2 process in the second half of 2024. The SF2 technology, which employs gate-all-around (GAA) transistors that Samsung brands as Multi-Bridge-Channel Field Effect Transistors (MBCFET), does not feature a backside power delivery network (BSPDN), a significant advantage of Intel's 20A process. Samsung Foundry has not officially confirmed the renaming.

LG and Meta Forge Collaboration to Accelerate XR Business

LG Electronics (LG) is ramping up its strategic collaboration with the global tech powerhouse, Meta Platforms, Inc. (Meta), aiming to expedite its extended reality (XR) ventures. The aim is to combine the strengths of both companies across products, content, services and platforms to drive innovation in customer experiences within the burgeoning virtual space.

Forging an XR Collaboration With Meta
On February 28, LG's top management, including CEO William Cho and Park Hyoung-sei, president of the Home Entertainment Company, met with Meta Founder and CEO Mark Zuckerberg at LG Twin Towers in Yeouido, Seoul. This meeting coincided with Zuckerberg's tour of Asia. The two-hour session saw discussions on business strategies and considerations for next-gen XR device development. CEO Cho, while experiencing the Meta Quest 3 headset and Ray-Ban Meta smart glasses, expressed a keen interest in Meta's advanced technology demonstrations, notably focusing on Meta's large language models and its potential for on-device AI integration.

Phison Predicts 2024: Security is Paramount, PCIe 5.0 NAND Flash Infrastructure Imminent as AI Requires More Balanced AI Data Ecosystem

Phison Electronics Corp., a global leader in NAND flash controller and storage solutions, today announced the company's predictions for 2024 trends in NAND flash infrastructure deployment. The company predicts that rapid proliferation of artificial intelligence (AI) technologies will continue apace, with PCIe 5.0-based infrastructure providing high-performance, sustainable support for AI workload consistency as adoption rapidly expands. PCIe 5.0 NAND flash solutions will be at the core of a well-balanced hardware ecosystem, with private AI deployments such as on-premise large language models (LLMs) driving significant growth in both everyday AI and the infrastructure required to support it.

"We are moving past initial excitement over AI toward wider everyday deployment of the technology. In these configurations, high-quality AI output must be achieved by infrastructure designed to be secure, while also being affordable. The organizations that leverage AI to boost productivity will be incredibly successful," said Sebastien Jean, CTO, Phison US. "Building on the widespread proliferation of AI applications, infrastructure providers will be responsible for making certain that AI models do not run up against the limitations of memory - and NAND flash will become central to how we configure data center architectures to support today's developing AI market while laying the foundation for success in our fast-evolving digital future."

Renesas Unveils the First Generation of Own 32-bit RISC-V CPU Core Ahead of Competition

Renesas Electronics Corporation, a premier supplier of advanced semiconductor solutions, announced today that it has designed and tested a 32-bit CPU core based on the open-standard RISC-V instruction set architecture (ISA). Renesas is among the first in the industry to independently develop a CPU core for the 32-bit general-purpose RISC-V market, providing an open and flexible platform for IoT, consumer electronics, healthcare and industrial systems. The new RISC-V CPU core will complement Renesas' existing IP portfolio of 32-bit microcontrollers (MCUs), including the proprietary RX Family and the RA Family based on the Arm Cortex -M architecture.

RISC-V is an open ISA which is quickly gaining popularity in the semiconductor industry, due to its flexibility, scalability, power efficiency and open ecosystem. While many MCU providers have recently created joint investment alliances to accelerate their development of RISC-V products, Renesas has already developed a new RISC-V core on its own. This versatile CPU can serve as a main application controller, a complementary secondary core in SoCs, on-chip subsystems, or even in deeply embedded ASSPs. This positions Renesas as a leader in the emerging RISC-V market, following previous introductions of its 32-bit voice-control and motor-control ASSP devices, as well as the RZ/Five 64-bit general purpose microprocessors (MPUs), which were built on CPU cores developed by Andes Technology Corp.

Samsung Electronics Announces Third Quarter 2023 Results

Samsung Electronics today reported financial results for the third quarter ended September 30, 2023. Total consolidated revenue was KRW 67.40 trillion, a 12% increase from the previous quarter, mainly due to new smartphone releases and higher sales of premium display products. Operating profit rose sequentially to KRW 2.43 trillion based on strong sales of flagship models in mobile and strong demand for displays, as losses at the Device Solutions (DS) Division narrowed.

The Memory Business reduced losses sequentially as sales of high valued-added products and average selling prices somewhat increased. Earnings in system semiconductors were impacted by a delay in demand recovery for major applications, but the Foundry Business posted a new quarterly high for new backlog from design wins. The mobile panel business reported a significant increase in earnings on the back of new flagship model releases by major customers, while the large panel business narrowed losses in the quarter. The Device eXperience (DX) Division achieved solid results due to robust sales of premium smartphones and TVs. Revenue at the Networks Business declined in major overseas markets as mobile operators scaled back investments.

Inflation Impacts Demand for Consumer Electronics, 2022 DRAM Module Makers' Revenues Fall 4.6%

TrendForce reports that consumer appetite for electronic products took a hit from high inflation, with global DRAM module sales in 2022 reaching US$17.3 billion—a 4.6% YoY decline. Revenue performance varied significantly among module makers due to the different domains they supply.

TrendForce's data indicated that the top five memory suppliers in 2022 accounted for 90% of total sales, with the top ten collectively capturing 96% of global market revenue. Kingston maintained its dominant market share of 78%. Even with a slight revenue dip, it held steadfast to its position as the global leader. Despite poor end-market demand, Kingston's robust brand scale, along with its comprehensive product supply chain, limited its revenue decline to a modest 5.3%, keeping it firmly at the top of market share rankings.

Tenstorrent Selects Samsung Foundry to Manufacture Next-Generation AI Chiplet

Tenstorrent, a company that sells AI processors and licenses AI and RISC-V IP, announced today that it selected Samsung Foundry to bring Tenstorrent's next generation of AI chiplets to market. Tenstorrent builds powerful RISC-V CPU and AI acceleration chiplets, aiming to push the boundaries of compute in multiple industries such as data center, automotive and robotics. These chiplets are designed to deliver scalable power from milliwatts to megawatts, catering to a wide range of applications from edge devices to data centers.

To ensure the highest quality and cutting-edge manufacturing capabilities for its chiplet, Tenstorrent has selected Samsung's Foundry Design Service team, known for their expertise in silicon manufacturing. The chiplets will be manufactured using Samsung's state-of-the-art SF4X process, which boasts an impressive 4 nm architecture.

LG Announces Second-Quarter 2023 Financial Results

LG Electronics Inc. (LG) today announced second-quarter 2023 consolidated revenue of KRW 20 trillion with operating profit of KRW 741.9 billion. The company recorded the highest second-quarter revenues in company history. The second-quarter results underscore the success of the company's strategy to drive continuous growth while strengthening its business competitiveness. The record revenue reflects the company's actions to fundamentally improve its business structure by pursuing new platform-based service businesses and continuously expanding the business-to-business (B2B) segments. Profitability also was strong, although operating income was 6.3 percent lower than the second quarter last year, primarily due to a one-time second-quarter 2023 provision.

The company plans to drive further profitability improvements by optimizing efficiencies and by preemptively meeting market demands through enhanced demand forecasting and manufacturing competitiveness. LG also plans to continuously strengthen its online brand store and direct-to-consumer businesses.

Samsung Electronics Announces Second Quarter 2023 Results

Samsung Electronics today reported financial results for the second quarter ended June 30, 2023. The Company posted KRW 60.01 trillion in consolidated revenue, a 6% decline from the previous quarter, mainly due to a decline in smartphone shipments despite a slight recovery in revenue of the DS (Device Solutions) Division. Operating profit rose sequentially to KRW 0.67 trillion as the DS Division posted a narrower loss, while Samsung Display Corporation (SDC) and the Digital Appliances Business saw improved profitability.

The Memory Business saw results improve from the previous quarter as its focus on High Bandwidth Memory (HBM) and DDR5 products in anticipation of robust demand for AI applications led to higher-than-guided DRAM shipments. System semiconductors posted a decline in profit due to lower utilization rates on weak demand from major applications.
Return to Keyword Browsing
Sep 17th, 2024 02:43 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts