News Posts matching #Samsung

Return to Keyword Browsing

Samsung Expected to Unveil Enterprise "PBSSD" Subscription Service at GTC

Samsung Electronics is all set to discuss the future of AI, alongside Jensen Huang, at NVIDIA's upcoming GTC 2024 conference. South Korean insiders have leaked the company's intentions, only days before the event's March 18 kickoff time. Their recently unveiled 36 GB HBM3E 12H DRAM product is expected to be the main focus of official presentations—additionally, a new storage subscription service is marked down for a possible live introduction. An overall "Redefining AI Infrastructure" presentation could include—according to BusinessKorea—a planned launch of: "petabyte (PB)-level SSD solution, dubbed 'PBSSD,' along with a subscription service in the US market within the second quarter (of 2024) to address the era of ultra-high-capacity data."

A Samsung statement—likely sourced from leaked material—summarized this business model: "the subscription service will help reduce initial investment costs in storage infrastructure for our customers and cut down on maintenance expenses." Under agreed upon conditions, customers are not required to purchasing ultra-high-capacity SSD solutions outright: "enterprises using the service can flexibly utilize SSD storage without the need to build separate infrastructure, while simultaneously receiving various services from Samsung Electronics related to storage management, security, and upgrades." A special session—"The Value of Storage as a Service for AI/ML and Data Analysis"—is alleged to be on the company's GTC schedule.

NAND Flash Market Landscape to Change, Reports TrendForce

With the effective reduction of production by suppliers, the price of memory is rebounding, and the semiconductor memory market finally shows signs of recovery. From the perspective of market dynamics and demand changes, NAND Flash, as one of the two major memory products, is experiencing a new round of changes. Since 3Q23, NAND Flash chip prices have been on the rise for several consecutive months. TrendForce believes that, under the precondition of a conservative market demand prospect for 2024, chip price trends will depend on suppliers' production capacity utilization.

There have been frequent developments in the NAND flash memory industry chain, with some manufacturers indicating a willingness to raise prices or increase production capacity utilization. Wallace C. Kou, General Manager of NAND Flash Supplier SIMO, stated that prices for the second quarter of NAND Flash have already been settled down, which will increase by 20%; some suppliers have started to make profits in the first quarter, and most suppliers will earn money after the second quarter.

Samsung Reportedly Acquiring New Equipment Due to Disappointing HBM Yields

Industry insiders reckon that Samsung Electronics is transitioning to molded underfill (MR-MUF) production techniques—rival memory manufacturer, SK Hynix, champions this chip making technology. A Reuters exclusive has cited claims made by five industry moles—they believe that Samsung is reacting to underwhelming HBM production yields. The publication proposes that: "one of the reasons Samsung has fallen behind (competing producers) is its decision to stick with chip making technology called non-conductive film (NCF) that causes some production issues, while Hynix switched to the mass reflow molded underfill (MR-MUF) method to address NCF's weakness." The report suggests that Samsung is in the process of ordering new MUF-related equipment.

One anonymous source stated: "Samsung had to do something to ramp up its HBM (production) yields... adopting MUF technique is a little bit of swallow-your-pride type thing for (them), because it ended up following the technique first used by SK Hynix." Reuters managed to extract a response from the giant South Korean multinational—a company spokesperson stated: "we are carrying out our HBM3E product business as planned." They indicated that NCF technology remains in place as an "optimal solution." Post-publication, another official response was issued: "rumors that Samsung will apply MR-MUF to its HBM production are not true." Insiders propose a long testing phase—Samsung is rumored to be sourcing MUF materials, but mass production is not expected to start this year. Three insiders allege that Samsung is planning to "use both NCF and MUF techniques" for a new-generation HBM chip.

NVIDIA's Selection of Micron HBM3E Supposedly Surprises Competing Memory Makers

SK Hynix believes that it leads the industry with the development and production of High Bandwidth Memory (HBM) solutions, but rival memory manufacturers are working hard on equivalent fifth generation packages. NVIDIA was expected to select SK Hynix as the main supplier of HBM3E parts for utilization on H200 "Hopper" AI GPUs, but a surprise announcement was issued by Micron's press team last month. The American firm revealed that HBM3E volume production had commenced: ""(our) 24 GB 8H HBM3E will be part of NVIDIA H200 Tensor Core GPUs, which will begin shipping in the second calendar quarter of 2024. This milestone positions Micron at the forefront of the industry, empowering artificial intelligence (AI) solutions with HBM3E's industry-leading performance and energy efficiency."

According to a Korea JoongAng Daily report, this boast has reportedly "shocked" the likes of SK Hynix and Samsung Electronics. They believe that Micron's: "announcement was a revolt from an underdog, as the US company barely held 10 percent of the global market last year." The article also points out some behind-the-scenes legal wrangling: "the cutthroat competition became more evident when the Seoul court sided with SK Hynix on Thursday (March 7) by granting a non-compete injunction to prevent its former researcher, who specialized in HBM, from working at Micron. He would be fined 10 million won for each day in violation." SK Hynix is likely pinning its next-gen AI GPU hopes on a 12-layer DRAM stacked HBM3E product—industry insiders posit that evaluation samples were submitted to NVIDIA last month. The outlook for these units is said to be very positive—mass production could start as early as this month.

JEDEC Agrees to Relax HBM4 Package Thickness

JEDEC is currently presiding over standards for 6th generation high bandwidth memory (AKA HBM4)—the 12 and 16-layer DRAM designs are expected to reach mass production status in 2026. According to a ZDNET South Korea report, involved manufacturers are deliberating over HBM4 package thicknesses—allegedly, decision makers have settled on 775 micrometers (μm). This is thicker than the previous generation's measurement of 720 micrometers (μm). Samsung Electronics, SK Hynix and Micron are exploring "hybrid bonding," a new packaging technology—where onboard chips and wafers are linked directly to each other. Hybrid bonding is expected to be quite expensive to implement, so memory makers are carefully considering whether HBM4 warrants its usage.

ZDNET believes that JEDEC's agreement—settling on 775 micrometers (μm) for 12-layer and 16-layer stacked HBM4—could have: "a significant impact on the future packaging investment trends of major memory manufacturers. These companies have been preparing a new packaging technology, hybrid bonding, keeping in mind the possibility that the package thickness of HBM4 will be limited to 720 micrometers. However, if the package thickness is adjusted to 775 micrometers, 16-layer DRAM stacking HBM4 can be sufficiently implemented using existing bonding technology." A revised schedule could delay the rollout of hybrid bonding—perhaps pushed back to coincide with a launch of seventh generation HBM. The report posits that Samsung Electronics, SK Hynix and Micron memory engineers are about to focus on the upgrading of existing bonding technologies.

Samsung Accelerates R&D of Glass Substrate Chip Packaging

The Samsung Group has formed a new cross-department alliance—according to South Korea's Sedaily—this joint operation will concentrate on the research and development of a "dream substrate." The company's Electronics, Electrical Engineering, and Display divisions are collaborating in order to accelerate commercialization of "glass substrate" chip packaging. Last September, Intel revealed its intention to become an industry leader in "glass substrate production for next-generation advanced packaging." Team Blue's shiny new Arizona fabrication site will be taking on this challenge, following ten years of internal R&D work. Industry watchdogs reckon that mass production—in North America—is not expected to kick off anytime soon. Sensible guesstimates suggest a start date somewhere in 2030.

The Sedaily article states that Samsung's triple department alliance will target "commercialization faster than Intel." Company representatives—in attendance at CES 2024—set a 2026 window as their commencement goal for advanced glass substrate chip package mass production. An unnamed South Korean industry watcher has welcomed a new entrant on the field: "as each company possesses the world's best technology, synergies will be maximized in glass substrate research, which is a promising field...it is also important to watch how the glass substrate ecosystem of Samsung's joint venture will be established." Glass substrate packaging is ideal for "large-area and high-performance chip combinations" due to inherent heat-resistant properties and material strength. So far, the semiconductor industry has struggled with its development—hence the continued reliance on plastic boards and organic materials.

HBM3 Initially Exclusively Supplied by SK Hynix, Samsung Rallies Fast After AMD Validation

TrendForce highlights the current landscape of the HBM market, which as of early 2024, is primarily focused on HBM3. NVIDIA's upcoming B100 or H200 models will incorporate advanced HBM3e, signaling the next step in memory technology. The challenge, however, is the supply bottleneck caused by both CoWoS packaging constraints and the inherently long production cycle of HBM—extending the timeline from wafer initiation to the final product beyond two quarters.

The current HBM3 supply for NVIDIA's H100 solution is primarily met by SK hynix, leading to a supply shortfall in meeting burgeoning AI market demands. Samsung's entry into NVIDIA's supply chain with its 1Znm HBM3 products in late 2023, though initially minor, signifies its breakthrough in this segment.

Global Top 10 Foundries Q4 Revenue Up 7.9%, Annual Total Hits US$111.54 Billion in 2023

The latest TrendForce report reveals a notable 7.9% jump in 4Q23 revenue for the world's top ten semiconductor foundries, reaching $30.49 billion. This growth is primarily driven by sustained demand for smartphone components, such as mid and low-end smartphone APs and peripheral PMICs. The launch season for Apple's latest devices also significantly contributed, fueling shipments for the A17 chipset and associated peripheral ICs, including OLED DDIs, CIS, and PMICs. TSMC's premium 3 nm process notably enhanced its revenue contribution, pushing its global market share past the 60% threshold this quarter.

TrendForce remarks that 2023 was a challenging year for foundries, marked by high inventory levels across the supply chain, a weak global economy, and a slow recovery in the Chinese market. These factors led to a downward cycle in the industry, with the top ten foundries experiencing a 13.6% annual drop as revenue reached just $111.54 billion. Nevertheless, 2024 promises a brighter outlook, with AI-driven demand expected to boost annual revenue by 12% to $125.24 billion. TSMC, benefiting from steady advanced process orders, is poised to far exceed the industry average in growth.

Intel 14A Node Delivers 15% Improvement over 18A, A14-E Adds Another 5%

Intel is revamping its foundry play, and the company is set on its goals of becoming a strong contender to rivals such as TSMC and Samsung. Under Pat Gelsinger's lead, Intel recently split (virtually, under the same company) its units into Intel Product and Intel Foundry. During the SPIE 2024 conference for optics and photonics, Anne Kelleher, Intel's senior vice president, revealed that the 14A (1.4 nm) process offers a 15% performance-per-watt improvement over the company's 18A (1.8 nanometers) process. Additionally, the enhanced 14A-E process boasts a further 5% performance boost from the regular A14 node, being a small refresh. Intel's 14A process is set to be the first to utilize High-NA extreme ultraviolet (EUV) equipment, delivering a 20% increase in transistor logic density compared to the 18A node.

The company's aggressive pursuit of next-generation processes poses a significant threat to Samsung Electronics, which currently holds the second position in the foundry market. As part of its IDM 2.0 strategy, Intel hopes to reclaim its position as a leading foundry player and surpass Samsung by 2030. The company's collaboration with American companies, such as Microsoft, further solidifies its ambitions. Intel has already secured a $15 billion chip production contract with Microsoft for its 1.8 nm 18A process. The semiconductor industry is closely monitoring Intel's progress, as the company's advancements in process technology could potentially reshape the competitive landscape. With Samsung planning to mass-produce 2 nm process products next year, the race for dominance in the foundry market is heating up.

Snapdragon X Elite-powered Samsung Galaxy Book 4 Edge to Compete with M3 MacBooks in Pricing

In what is a solid hint that Arm-based SoCs such as the Qualcomm Snapdragon X don't just intend to serve as cheaper alternatives to x86-based U-segment processors from Intel and AMD, but also compete in the high-end on virtue of their performance and battery life advantages; Samsung is designing a line of premium thin-and-light notebooks around the upcoming Qualcomm Snapdragon X Elite processor. Snapdragon X crams in the company's most advanced Arm CPU IP, and the latest generation Qualcomm Adreno iGPU; with Qualcomm claiming to offer 2x the CPU and graphics performance over x86 processors in its price-class, at 1/3rd the power (in other words, over 2x the battery life). It also packs a powerful NPU with 45 TOPS AI inferencing performance on tap. The Snapdragon X Elite is essentially Qualcomm's answer to the M3.

With the Snapdragon X Elite, Samsung has designed the new Galaxy Book 4 Edge, and WinFuture has some specs. Apparently the notebook comes in a 14-inch thin-and-light form-factor. The Snapdragon X Elite will be paired with 16 GB of LPDDR5/X memory, and 512 GB of NVMe-based SSD storage. Comms will include a 5G MODEM for connectivity anywhere; and possibly Wi-Fi 7 BE. Although we can't tell from the company images, it stands to reason that Samsung is using an AMOLED touchscreen display. WinFuture reports that Samsung plans to price the Galaxy Book 4 Edge at €1,759, which should put it in competition with several models of M3-powered MacBooks. The best part? The notebook is powered by Windows 11, and comes with a Microsoft-supplied translation layer for running legacy PC apps on it.

Samsung Anticipates 2027-2028 Entry into Micro OLED AR/VR Market

Choi Joo-sun, CEO of Samsung Display, spoke to journalists post-conclusion of a March 6 lecture at the Korea Advanced Institute of Science and Technology (KAIST). A Chosun Daily Business reporter pulled some quotes regarding Samsung's outlook for new generation micro OLED technologies. Choi and his colleagues are likely taking their time on this development front—Sony Semiconductor Solutions (SSS) has already mass-produced OLED Microdisplay products. The Japanese technology giant is the main supplier of display panels for Apple's Vision Pro mixed reality headset—a recent iFixit teardown revealed a possible custom-designed unit. Leaked "Bill of Materials" figures indicate an eye-watering total cost of $456 for a pair of SSS 4K panels—Apple is reportedly engaged in negotiations with SeeYa and BOE regarding the supply of cheaper alternatives.

The Samsung Display boss is monitoring current industry trends, but his team is not rushing out competing solutions: "The market potential of micro OLED, which is used in augmented reality (AR) and virtual reality (VR), is significant, but I believe the market will begin in earnest around 2027-2028...there are many technical aspects to overcome and cost considerations." Choi believes that Samsung is better off with plenty of preparation time, before an anticipated bloom in the micro OLED market—in his opinion, domination can be achieved with careful investment in research and development (R&D) efforts. He stated: "During the remaining 2 to 3 years, we will deploy manpower to ensure that Samsung Display does not fall behind in the micro OLED market and introduce solutions that are competitive compared to competitors...The acquisition of Imagine, an American display company, is also part of this effort."

Enterprise SSD Industry Hits US$23.1 Billion in Revenue in 4Q23, Growth Trend to Continue into Q1 This Year

The third quarter of 2023 witnessed suppliers dramatically cutting production, which underpinned enterprise SSD prices. The fourth quarter saw a resurgence in contract prices, driven by robust buying activity and heightened demand from server brands and buoyed by optimistic capital expenditure forecasts for 2024. This, combined with increased demand from various end products entering their peak sales period and ongoing reductions in OEM NAND Flash inventories, resulted in some capacity shortages. Consequently, fourth-quarter enterprise SSD prices surged by over 15%. TrendForce highlights that this surge in demand and prices led to a 47.6% QoQ increase in enterprise SSD industry revenues in 4Q23, reaching approximately $23.1 billion.

The stage is set for continued fervor as we settle into the new year and momentum from server brand orders continues to heat up—particularly from Chinese clients. On the supply side, falling inventory levels and efforts to exit loss-making positions have prompted enterprise SSD prices to climb, with contract prices expected to increase by over 25%. This is anticipated to fuel a 20% revenue growth in Q1.

Samsung Elevates Your Gaming Experience with the Award-Winning Odyssey Neo G9

Samsung Electronics continues to redefine the boundaries of immersive gaming with the Odyssey Neo G9 (G95NC), which has garnered widespread acclaim and numerous awards for its unparalleled performance and innovation. The Odyssey Neo G9 set a new standard with its 57-inch Dual UHD display, combining unmatched visual clarity with cutting-edge technology to deliver an immersive gaming experience as well as boosts in productivity. This state-of-the-art monitor is the equivalent of having two 32-inch monitors in one unit, with a 1000R curved screen for maximum immersion.

With Quantum Matrix Technology, coupled with a lightning-fast 240 Hz refresh rate and 1 ms response time, the Odyssey Neo G9 also offers vibrant visuals, precise control and seamless action in every frame. The Odyssey Neo G9 has received high marks from media, such as Newsweek and Techaeris, with the latter recognizing it as the "world's first dual UHD monitor that delivers in spades." In fact, the Odyssey Neo G9 earned a spot in Techaeris' 'Best of 2023' category, underscoring the Odyssey Neo G9's impressive performance and features that make the monitor a standout choice for gamers.

NAND Flash Industry Revenue Grows 24.5% in Q4 2023, Expected to Increase Another 20% in Q1

TrendForce reports a substantial 24.5% QoQ increase in NAND Flash industry revenue, hitting US$11.49 billion in 4Q23. This surge is attributed to a stabilization in end-demand spurred by year-end promotions, along with an expansion in component market orders driven by price chasing, leading to robust bit shipments compared to the same period last year. Additionally, the corporate sector's continued positive outlook for 2024 demand—compared to 2023—and strategic stockpiling have further fueled this growth.

Looking ahead to 1Q24, despite it traditionally being an off-season, the NAND Flash industry is expected to see a continued increase in revenue by another 20%. This anticipation is underpinned by significant improvements in supply chain inventory levels and ongoing price rises, with clients ramping up their orders to sidestep potential supply shortages and escalating costs. The ongoing expansion of order sizes is expected to drive NAND Flash contract prices up by an average of 25%.

Samsung Foundry Renames 3 nm Process to 2 nm Amid Competition with Intel

In a move that could intensify competition with Intel in the cutting-edge chip manufacturing space, Samsung Foundry has reportedly decided to rebrand its second-generation 3 nm-class fabrication technology, previously known as SF3, to a 2 nm-class manufacturing process called SF2. According to reports from ZDNet, the renaming of Samsung's SF3 to SF2 is likely an attempt by the South Korean tech giant to simplify its process nomenclature and better compete against Intel Foundry, at least visually. Intel is set to roll out its Intel 20A production node, a 2 nm-class technology, later this year. The reports suggest that Samsung has already notified its customers about the changes in its roadmap and the renaming of SF3 to SF2. Significantly, the company has reportedly gone as far as re-signing contracts with customers initially intended to use the SF3 production node.

"We were informed by Samsung Electronics that the 2nd generation 3 nm [name] is being changed to 2 nm," an unnamed source noted to ZDNet. "We had contracted Samsung Foundry for the 2nd generation 3 nm production last year, but we recently revised the contract to change the name to 2 nm." Despite the name change, Samsung's SF3, now called SF2, has not undergone any actual process technology alterations. This suggests that the renaming is primarily a marketing move, as using a different process technology would require customers to rework their chip designs entirely. Samsung intends to start manufacturing chips based on the newly named SF2 process in the second half of 2024. The SF2 technology, which employs gate-all-around (GAA) transistors that Samsung brands as Multi-Bridge-Channel Field Effect Transistors (MBCFET), does not feature a backside power delivery network (BSPDN), a significant advantage of Intel's 20A process. Samsung Foundry has not officially confirmed the renaming.

JEDEC Publishes GDDR7 Graphics Memory Standard

JEDEC Solid State Technology Association, the global leader in the development of standards for the microelectronics industry, is pleased to announce the publication of JESD239 Graphics Double Data Rate (GDDR7) SGRAM. This groundbreaking new memory standard is available for free download from the JEDEC website. JESD239 GDDR7 offers double the bandwidth over GDDR6, reaching up to 192 GB/s per device, and is poised to meet the escalating demand for more memory bandwidth in graphics, gaming, compute, networking and AI applications.

JESD239 GDDR7 is the first JEDEC standard DRAM to use the Pulse Amplitude Modulation (PAM) interface for high frequency operations. Its PAM3 interface improves the signal to noise ratio (SNR) for high frequency operation while enhancing energy efficiency. By using 3 levels (+1, 0, -1) to transmit 3 bits over 2-cycles versus the traditional NRZ (non-return-to-zero) interface transmitting 2 bits over 2-cycles, PAM3 offers higher data transmission rate per cycle resulting in improved performance.

DRAM Industry Sees Nearly 30% Revenue Growth in 4Q23 Due to Rising Prices and Volume

TrendForce reports a 29.6% QoQ in DRAM industry revenue for 4Q23, reaching US$17.46 billion, propelled by revitalized stockpiling efforts and strategic production control by leading manufacturers. Looking ahead to 1Q24, the intent to further enhance profitability is evident, with a projected near 20% increase in DRAM contract prices—albeit with a slight decrease in shipment volumes to the traditional off-season.

Samsung led the pack with the highest revenue growth among the top manufacturers in Q4 as it jumped 50% QoQ to hit $7.95 billion, largely due to a surge in 1alpha nm DDR5 shipments, boosting server DRAM shipments by over 60%. SK hynix saw a modest 1-3% rise in shipment volumes but benefited from the pricing advantage of HBM and DDR5, especially from high-density server DRAM modules, leading to a 17-19% increase in ASP and a 20.2% rise in revenue to $5.56 billion. Micron witnessed growth in both volume and price, with a 4-6% increase in each, resulting in a more moderate revenue growth of 8.9%, totaling $3.35 billion for the quarter due to its comparatively lower share of DDR5 and HBM.

Samsung's New microSD Cards Bring High Performance and Capacity, with Speeds of up to 800 MB/s and 1 TB in Size

Samsung Electronics, the world leader in advanced memory technology, today announced that it has started sampling its 256-gigabyte (GB) SD Express microSD card with sequential read speed of up to 800 megabytes per second (MB/s) and has commenced mass production of its 1-terabyte (TB) UHS-1 microSD card. With the introduction of its next-generation microSD card line-up, Samsung aims to provide differentiated memory solutions required for tomorrow's mobile computing and on-device AI applications.

"With our two new microSD cards, Samsung has provided effective solutions to address the growing demands of mobile computing and on-device AI," said Hangu Sohn, Vice President of the Memory Brand Product Biz Team at Samsung Electronics. "Despite their tiny size, these memory cards deliver powerful SSD-like performance and capacity to help users get more out of demanding modern and future applications."

Samsung & Intel Discuss the Galaxy Book4 Series and Future of AI PCs

Samsung Electronics is making 2024 the year of the AI PC with the release of its most powerful and intelligent Galaxy Book product line yet, the Galaxy Book4 series. This latest lineup—consisting of the Galaxy Book4 Ultra, Galaxy Book4 Pro and Galaxy Book4 Pro 360—offers intelligent performance, enhanced security and a vivid, interactive display, all in an ultra-portable design. From the new Intel Core Ultra Processor to the suite of AI features, the Galaxy Book4 series is packed with cutting-edge technology that aims to not only simplify but amplify users' computing experience.

Visitors discovered the Galaxy Book4 series' full capabilities inside Samsung's booth at Mobile World Congress (MWC) 2024, the world's largest mobile exhibition held from February 26-29 in Barcelona, Spain. Samsung Newsroom sat down with Mincheol Lee, Head of Galaxy Eco Biz Team, Mobile eXperience Business at Samsung Electronics, and David Feng, Vice President of Client Computing Group and General Manager of Client Segments at Intel, to explore how the advancements in the Galaxy Book4 series can enrich the lives of users.

Samsung Develops Industry-First 36GB HBM3E 12H DRAM

Samsung Electronics, a world leader in advanced memory technology, today announced that it has developed HBM3E 12H, the industry's first 12-stack HBM3E DRAM and the highest-capacity HBM product to date. Samsung's HBM3E 12H provides an all-time high bandwidth of up to 1,280 gigabytes per second (GB/s) and an industry-leading capacity of 36 gigabytes (GB). In comparison to the 8-stack HBM3 8H, both aspects have improved by more than 50%.

"The industry's AI service providers are increasingly requiring HBM with higher capacity, and our new HBM3E 12H product has been designed to answer that need," said Yongcheol Bae, Executive Vice President of Memory Product Planning at Samsung Electronics. "This new memory solution forms part of our drive toward developing core technologies for high-stack HBM and providing technological leadership for the high-capacity HBM market in the AI era."

Samsung Electronics Joins AI-RAN Alliance as a Founding Member

Samsung Electronics announced that it is participating in the AI-RAN Alliance as a founding member, with the goal of promoting 6G innovation by combining AI technology and wireless communication technology. Officially launched at Mobile World Congress (MWC) Barcelona 2024 today, the AI-RAN Alliance is an organization aimed at revitalizing the convergence of AI and wireless communication and leading technology innovation through cooperation with related companies. A total of eleven organizations—including Samsung, Arm, Ericsson, Microsoft, Nokia, NVIDIA, SoftBank and Northeastern University—are participating as founding members. This new alliance will collaborate on the development of innovative new technologies, as well as the application of these technologies to commercial products in preparation for the upcoming 6G era.

"Emerging services in the 6G era will revolutionize the way people interact with technology, and AI will be an integral part of this trend," said Charlie Zhang, Senior Vice President at Samsung Research America. "The AI-RAN Alliance will foster collaboration, drive innovation and usher in a new era of transformation around AI and 6G networks. We believe this coalition will create new value for end users and operators through AI-based use cases and innovations."

Samsung Collaborates on vRAN 3.0 with Intel

Samsung Electronics today announced the company has successfully completed the industry's first end-to-end call in a lab environment with a future Intel Xeon processor (codenamed Granite Rapids-D), on a virtualized Open RAN network powered by Samsung's vRAN 3.0. This achievement between Samsung and Intel is another milestone in the companies' multi-year collaboration and represents the companies' mutual dedication to advance virtualized Open RAN for enhanced performance and capacity.

Conducted in Samsung's R&D lab in Korea, the companies completed the end-to-end data call using Samsung's versatile and O-RAN-compliant virtualized RAN (vRAN) integrated with Intel's Granite Rapids-D, Samsung's Core and test devices. During the test, Samsung achieved significant gains as well as reduced power consumption. The test results will be demonstrated at Mobile World Congress 2024 (Samsung Networks booth, invitation-only).

Supermicro Accelerates Performance of 5G and Telco Cloud Workloads with New and Expanded Portfolio of Infrastructure Solutions

Supermicro, Inc. (NASDAQ: SMCI), a Total IT Solution Provider for AI, Cloud, Storage, and 5G/Edge, delivers an expanded portfolio of purpose-built infrastructure solutions to accelerate performance and increase efficiency in 5G and telecom workloads. With one of the industry's most diverse offerings, Supermicro enables customers to expand public and private 5G infrastructures with improved performance per watt and support for new and innovative AI applications. As a long-term advocate of open networking platforms and a member of the O-RAN Alliance, Supermicro's portfolio incorporates systems featuring 5th Gen Intel Xeon processors, AMD EPYC 8004 Series processors, and the NVIDIA Grace Hopper Superchip.

"Supermicro is expanding our broad portfolio of sustainable and state-of-the-art servers to address the demanding requirements of 5G and telco markets and Edge AI," said Charles Liang, president and CEO of Supermicro. "Our products are not just about technology, they are about delivering tangible customer benefits. We quickly bring data center AI capabilities to the network's edge using our Building Block architecture. Our products enable operators to offer new capabilities to their customers with improved performance and lower energy consumption. Our edge servers contain up to 2 TB of high-speed DDR5 memory, 6 PCIe slots, and a range of networking options. These systems are designed for increased power efficiency and performance-per-watt, enabling operators to create high-performance, customized solutions for their unique requirements. This reassures our customers that they are investing in reliable and efficient solutions."

Samsung Foundry Reportedly Producing 2 nm Prototypes for Qualcomm

Smartphone chipset industry watchdogs believe that the Samsung 3 nm GAA process did not meet customer expectations, due to alleged yield issues. TSMC is seemingly victorious in this segment, as reports suggest that a next-generation 3 nm node production goal of "100,000 monthly wafers by the end of 2024" has been set. Three days ago, Samsung Foundry revealed that it is working on a very advanced SF2 GAAFET process—press outlets in South Korea propose that the manufacturing giant is hoping to outmuscle its main rival in a future 2 nm node category. Tuesday's press introduction stated that a development partnership is set: "to deliver optimized next generation ARM Cortex -X CPU developed on Samsung Foundry's latest Gate-All-Around (GAA) process technology."

A Sedaily article posits that the company's cutting-edge manufacturing tech has already attracted interest from notable parties: "Samsung Electronics is taking advantage of these advantages to win orders for the 2 nm project. Samsung Electronics took its first step by winning an order to produce a 2 nm AI accelerator from Preferred Networks (PFN), Japan's largest AI company. Qualcomm, the world's largest system semiconductor design company, has entered into discussions with Samsung Electronics' System LSI Division, which designs high-performance chips, to produce 2 nm prototypes." December 2023 news reports suggested that Samsung leadership was considering a 2 nm wafer price discount—in order to stay competitive with competing foundry services. It is possible that Qualcomm is evaluating the 2 nm SF2 GAAFET process for a distant Snapdragon 8 "Gen 5" chipset, while Samsung LSI could be working on a 2 nm "Exynos 2600" SoC design.

Samsung's New Galaxy Book4 Series Available Globally Beginning February 26

Samsung Electronics today announced the Galaxy Book4 series will be available in selected markets starting February 26. The latest premium PC lineup from Samsung delivers intelligent and powerful experiences that bring together highly optimized performance, a vivid touchscreen display and enhanced connectivity. The Galaxy Book4 series, including the Galaxy Book4 Ultra, Galaxy Book4 Pro and Galaxy Book4 Pro 360, launched in Korea on January 2 and experienced record-breaking interest, outselling last year's Galaxy Book3 series by 1.5 times during the first week of sales.

"We're excited for users to experience the intelligence, connectivity and productivity made possible by the Galaxy Book4 series, taking our premium PC lineup to the next level," said TM Roh, President and Head of Mobile eXperience Business at Samsung Electronics. "The Galaxy Book4 series delivers the powerful performance and multi-device connectivity that consumers expect from a high-performance PC in today's market."
Return to Keyword Browsing
May 17th, 2024 18:19 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts