News Posts matching #28 nm

Return to Keyword Browsing

AMD Announces Spartan UltraScale+ Family of FPGAs

AMD today announced the AMD Spartan UltraScale+ FPGA family, the newest addition to the extensive portfolio of AMD Cost-Optimized FPGAs and adaptive SoCs. Delivering cost and power-efficient performance for a wide range of I/O-intensive applications at the edge, Spartan UltraScale+ devices offer the industry's highest I/O to logic cell ratio in FPGAs built in 28 nm and lower process technology, deliver up to 30 percent lower total power consumption versus the previous generation, and contain the most robust set of security features in the AMD Cost-Optimized Portfolio.

"For over 25 years the Spartan FPGA family has helped power some of humanity's finest achievements, from lifesaving automated defibrillators to the CERN particle accelerator advancing the boundaries of human knowledge," said Kirk Saban, corporate vice president, Adaptive and Embedded Computing Group, AMD. "Building on proven 16 nm technology, the Spartan UltraScale+ family's enhanced security and features, common design tools, and long product lifecycles further strengthen our market-leading FPGA portfolio and underscore our commitment to delivering cost-optimized products for customers."

Top 10 Foundries Experience 7.9% QoQ Growth in 3Q23, with a Continued Upward Trend Predicted for Q4

TrendForce's research indicates a dynamic third quarter for the global foundry industry, marked by an uptick in urgent orders for smartphone and notebook components. This surge was fueled by healthy inventory levels and the release of new iPhone and Android devices in 2H23. Despite persisting inflation risks and market uncertainties, these orders were predominantly executed as rush orders. Additionally, TSMC and Samsung's high-cost 3 nm manufacturing process had a positive impact on revenues, driving the 3Q23 value of the top ten global foundries to approximately US$28.29 billion—a 7.9% QoQ increase.

Looking ahead to 4Q23, the anticipation of year-end festive demand is expected to sustain the inflow of urgent orders for smartphones and laptops, particularly for smartphone components. Although the end-user market is yet to fully recover, pre-sales season stockpiling for Chinese Android smartphones appears to be slightly better than expected, with demand for mid-to-low range 5G and 4G phone APs and continued interest in new iPhone models. This scenario suggests a continued upward trend for the top ten global foundries in Q4, potentially exceeding the growth rate seen in Q3.

China's Share in Mature Process Capacity Predicted to Hit 29% in 2023, Climbing to 33% by 2027

TrendForce reports that from 2023 to 2027, the global ratio of mature (>28 nm) to advanced (<16 nm) processes is projected to hover around 7:3. Propelled by policies and incentives promoting local production and domestic IC development, China's mature process capacity is anticipated to grow from 29% this year to 33% by 2027. Leading the charge are giants like SMIC, HuaHong Group, and Nexchip, while Taiwan's share is estimated to consolidate from 49% down to 42%.

Expansion predominantly targets specialty processes such as Driver ICs, CIS/ISPs, and Power Discretes, with second and third-tier Taiwanese manufacturers at the forefront
Within the Driver IC sector, the spotlight is on high voltage (HV) specialty processes. As companies aggressively pursue the 40/28 nm HV process, UMC currently dominates, trailed by GlobalFoundries. Yet, SMIC's 28HV and Nexchip's 40HV are gearing up for mass production in 4Q23 and 1H24, respectively—narrowing their technological gap with other foundries. Notably, competitors with similar process capabilities and capacities, such as PSMC, and those without twelve-inch factories like Vanguard and DBHitek, are poised to face challenges head-on in the short term. This trend may also have long-term implications for UMC and GlobalFoundries.

Winbond Introduces Innovative CUBE Architecture for Powerful Edge AI Devices

Winbond Electronics Corporation, a leading global supplier of semiconductor memory solutions, has unveiled a powerful enabling technology for affordable Edge AI computing in mainstream use cases. The Company's new customized ultra-bandwidth elements (CUBE) enable memory technology to be optimized for seamless performance running generative AI on hybrid edge/cloud applications.

CUBE enhances the performance of front-end 3D structures such as chip on wafer (CoW) and wafer on wafer (WoW), as well as back-end 2.5D/3D chip on Si-interposer on substrate and fan-out solutions. Designed to meet the growing demands of edge AI computing devices, it is compatible with memory density from 256 Mb to 8 Gb with a single die, and it can also be 3D stacked to enhance bandwidth while reducing data transfer power consumption.

DEEPX Announces State-of-the-Art AI Chip Product Lineup

DEEPX, a leading AI semiconductor technology company, aims to drive innovation in the rapidly evolving edge AI landscape with its state-of-the-art, low-power, high-performance AI chip product lineup. With a focus on revolutionizing application areas such as smart cities, surveillance, smart factories, and other industries, DEEPX unveiled its latest AI semiconductor solutions at the 2023 Samsung Foundry Forum (SFF), under the theme of "For AI Everywhere."

Recognizing the importance of collaboration and technological partnerships, DEEPX leveraged Samsung Electronics' foundry processes, harnessing the power of 5 nm, 14 nm, and 28 nm technologies for its semiconductor chip designs. As a result, the company has developed a suite of four high-performance, energy-efficient AI semiconductor products: DX-L1, DX-L2, DX-M1, and DX-H1. Each product has been specifically engineered to cater to the unique demands of various market segments, from ultra-compact sensors with minimal data processing requirements to AI-intensive applications such as robotics, computer vision, autonomous vehicles, and many others.

Despite Export Ban on Equipment, China's Semiconductor Expansion in Mature Processes Remains Strong

On June 30th, the Netherlands introduced new export restrictions on advanced semiconductor manufacturing equipment. Despite facing export controls from the US, Japan, and the Netherlands, TrendForce anticipates the market share of Chinese foundries in terms of 12-inch wafer production capacity will likely increase from 24% in 2022 to an estimated 26% in 2026. Moreover, if the exports of 40/28 nm equipment eventually receive approval, there's a chance that this market share could expand even further, possibly reaching 28% by 2026. This growth potential should not be dismissed.

Several manufacturing processes including photolithography, deposition, and epitaxy will be subject to these recent export restrictions. Beginning September 1st, the export of all controlled items will require formal authorization. TrendForce reports that Chinese foundries have been primarily developing mature processes like 55 nm, 40 nm, and 28 nm. Furthermore, demand for deposition equipment can be largely met by local Chinese vendors, meaning concerns regarding expansion and development are minimal. The main limiting factor, however, remains the equipment used in photolithography.

Russian CPUs Reported to be in High Demand as Prices Climb

Russian business news outlet Kommersant has learned from industry figures that prices of natively-designed computer processors have been on the rise since the beginning of 2023. Domestic manufacturers of PC, server and storage systems are requiring greater supplies of CPUs designed by Baikal Electronics and MCST - the publication posits that growing demand and logistical issues have become the root cause of recent climbs in cost - individuals involved in the computer hardware supply chain have suggested that some processor models have doubled in price. Sergey Ovchinnikov, the chief executive of Norsi-Trans (a server and data storage firm) provided comment: "Production of Russian chips at foreign fabs has become more complex, leading to extended logistics chains and, consequently, an increase in the cost of the final component." International trade sanctions have not prevented the arrival of fresh silicon into the region - Ovchinnikov claims that an unnamed foundry is able to supply (likely via proxy) Russian developers with computer processors.

Kommersant's investigation found out that Baikal's BE-T1000 CPU is now sold for roughly $110 (8900 Rubles) at a popular computer hardware e-tailer (ChipDip) in the region. The very basic dual core MIPS32r5 (28 nm) processor was readily available for $50 (3990 rubles) back in 2018, so its price has risen by 220% in recent times. TSMC was contracted as the manufacturer of Baikal's BE-T1000 CPU, and the Taiwanese foundry started producing these SoCs in 2016. A Baikal Electronics representative has denied any involvement in driving up MSRP, and states that it is up to distributors and retail outfits to determine prices. The company suspects that very old stock is being sold at inflated rates - Kommersant was unable to contact anyone at ChipDip for a statement.

TSMC and Partners to Invest $11 Billion into German-based Factory

TSMC, a Taiwanese semiconductor giant, is reportedly talking to its partners to develop an $11 billion (€10 billion) factory in Germany with the help of a few European partners. Currently assessing the plant location for Saxony in Germany, the fab wouldn't only be exclusively made by TSMC but will bring in NXP, Bosch, and Infineon that, will create a budget of around 7 billion Euros, including state subsidies, while the total budget is leaning closer to 10 billion Euros in total. However, it is essential to note that TSMC is still assessing the possibility of a Europe-based plant altogether.

Asking for as much as 40% of the total investment to be European-backed subsidies, TSMC wants to create a European facility that will be focused on a growing sector--automotive. If approved in August, the TSMC plant will become the company's first European facility and will first focus on manufacturing 28 nm chips. As one of the first significant EU Chips Act €43 billion investment, it will heavily boost European semiconductor manufacturing.

Strict Restrictions Imposed by US CHIPS Act Will Lower Willingness of Multinational Suppliers to Invest

TrendForce reports that the US Department of Commerce recently released details regarding its CHIPS and Science Act, which stipulates that beneficiaries of the act will be restricted in their investment activities—for more advanced and mature processes—in China, North Korea, Iran, and Russia for the next ten years. The scope of restrictions in this updated legislation will be far more extensive than the previous export ban, further reducing the willingness of multinational semiconductor companies to invest in China for the next decade.

CHIPS Act will mainly impact TSMC; and as the decoupling of the supply chain continues, VIS and PSMC capture orders rerouted from Chinese foundries
In recent years, the US has banned semiconductor exports and passed the CHIPS Act, all to ensure supply chains decoupling from China. Initially, bans on exports were primarily focused on non-planar transistor architecture (16/14 nm and more advanced processes). However, Japan and the Netherlands have also announced that they intend to join the sanctions, which means key DUV immersion systems, used for producing both sub-16 nm and 40/28 nm mature processes, are likely to be included within the scope of the ban as well. These developments, in conjunction with the CHIPS Act, mean that the expansion of both Chinese foundries and multinational foundries in China will be suppressed to varying degrees—regardless of whether they are advanced or mature processes.

Foundry Revenue is Forecasted to Drop by 4% YoY for 2023, TrendForce Notes

TrendForce's recent analysis of the foundry market reveals that demand continues to slide for all types of mature and advanced nodes. The major IC design houses have cut wafer input for 1Q23 and will likely scale back further for 2Q23. Currently, foundries are expected to maintain a lower-than-ideal level of capacity utilization rate in the first two quarters of this year. Some nodes could experience a steeper demand drop in 2Q23 as there are still no signs of a significant rebound in wafer orders. Looking ahead to the second half of this year, orders will likely pick up for some components that underwent an inventory correction at an earlier time. However, the state of the global economy will remain the largest variable that affect demand, and the recovery of individual foundries' capacity utilization rates will not occur as quickly as expected. Taking these factors into account, TrendForce currently forecasts that global foundry revenue will drop by around 4% YoY for 2023. The projected decline for 2023 is more severe when compared with the one that was recorded for 2019.

UMC Reports Fourth Quarter 2022 Results

United Microelectronics Corporation ("UMC" or "The Company"), a leading global semiconductor foundry, today announced its consolidated operating results for the fourth quarter of 2022. Fourth quarter consolidated revenue was NT$67.84 billion, decreasing 10.0% QoQ from NT$75.39 billion in 3Q22. Compared to a year ago, 4Q22 revenue grew 14.8% YoY from NT$59.10 billion in 4Q21. Consolidated gross margin for 4Q22 was 42.9%. Net income attributable to the shareholders of the parent was NT$19.1 billion, with earnings per ordinary share of NT$1.54.

Jason Wang, co-president of UMC, said, "In the fourth quarter, due to a significant slowdown across most of our end markets and inventory correction in the semiconductor industry, our wafer shipments fell 14.8% QoQ while overall fab utilization rate dropped to 90%. Average selling price increased slightly during the quarter as a result of our ongoing product mix optimization efforts, moderating the decline in revenue."

Top 10 TSMC Customers Said to have Cut Orders for 2023

On the day of TSMC's celebration of the mass production start of its 3 nm node, news out of Taiwan suggests that all of its top 10 customers have cut their orders for 2023. However, the cuts are unlikely to affect its new node, but rather its existing nodes, with the 7 and 6 nm nodes said to be hit the hardest, by as much as a 50 percent utilisation reduction in the first quarter of 2023. The 28 nm and 5 and 4 nm nodes are also said to be affected, although it's unclear by how much at this point in time.

Revenue is expected to fall by at least 15 percent in the first quarter of 2023 for TSMC, based on numbers from DigiTimes. The fact that TSMC has increased its 2023 pricing by six percent should at least help offset some of the potential losses for the company, but it all depends on the demand for the rest of the year. Demand for mobile devices is down globally, which is part of the reason why so many of TSMC's customers have cut back their orders, as Apple, Qualcomm and Mediatek all produce their mobile SoCs at TSMC. Add to this that the demand for computers and new computer components are also down, largely due to the current pricing and TSMC is in for a tough time next year.

Global Top 10 Foundries' Total Revenue Grew by 6% QoQ for 3Q22, but Foundry Industry's Revenue Performance Will Enter Correction Period in 4Q22

According to TrendForce's research, the total revenue of the global top 10 foundries rose by 6% QoQ to US$35.21 billion for 3Q22 as the release of the new iPhone series during the second half of the year generated significant stock-up activities across Apple's supply chain. However, the global economy shows weak performances, and factors such as China's policy on containing COVID-19 outbreaks and high inflation continue to impact consumer confidence. As a result, peak-season demand in the second half of the year has been underwhelming, and inventory consumption is proceeding slower than anticipated. This situation has led to substantial downward corrections to foundry orders as well. For 4Q22, TrendForce forecasts that the total revenue of the global top 10 foundries will register a QoQ decline, thereby terminating the boom of the past two years—when there was an uninterrupted trend of QoQ revenue growth.

Regarding individual foundries' performances in 3Q22, the group of the top five was led by TSMC, followed by Samsung, UMC, GlobalFoundries, and SMIC. Their collective global market share (in revenue terms) came to 89.6%. Most foundries were directly impacted by clients slowing down their stock-up activities or significantly correcting down their orders. Only TSMC was able to make a notable gain due to Apple's strong stock-up demand for the SoCs deployed in this year's new iPhone models. TSMC saw its revenue rise by 11.1% QoQ to US$20.16 billion, and the corresponding market share expanded to 56.1%. The growth was mainly attributed to the ≤7 nm nodes, whose share in the foundry's revenue had kept climbing and reached 54% in the third quarter. Conversely, Samsung actually experienced a slight QoQ drop of 0.1% in foundry revenue even though it had also benefited from the component demand related to the new iPhone series. Partially impacted by the weakening of the Korean won, Samsung's market share fell to 15.5%.

AMD to Increase Xilinx FPGA Prices by up to 25%

Xilinx Field Programmable Gate Arrays (FPGAs), now part of AMD, are always in demand in the semiconductor industry. Today, AMD has shared a letter to Xilinx customers that the selected FPGA device series will receive an 8-25% price increase. Citing AMD's investment into the supply chain, along with increased prices from the suppliers, Xilinx FPGAs will get more expensive. From January 9, 2023, the cost of the Spartan 6 series will increase by 25%, the price of the Versal series will not increase, and all other Xilinx products will increase by 8%. Interestingly, the older series manufactured on 40-28 nm nodes will increase while the latest Versal series doesn't experience any change.

Regarding lead times, the 16 nm UltraScale+ series, 20 nm UltraScale series, and 28 nm 7 series all take 20 weeks from order to delivery, which will remain until the third quarter of 2023. You can read the entire document below.

Glenfly Details its Arise-GT10C0 Graphics Card

It's not only Intel that has been showing off new graphics cards recently, as Chinese company Glenfly has revealed more details about its Arise-GT10C0 graphics card. To be clear from the start, this is not a graphics card for gamers, but rather for the PRC government and its computers, as the nation is trying to become self-sufficient when it comes to computer hardware for its government agencies and other government backed organisations. The 28 nm GPU has a clock speed of a whopping 500 MHz and delivers 1.5 TFLOPs of FP32 performance, which places it firmly in yesteryear's performance category. Glenfly claims support for up to 4K resolution, althought this is most likely only for desktop use.

The GPU is paired with 2 or 4 GB of DDR4 memory with a clock speed of 1200 MHz, using either a 64 or a 128 bit memory interface. The actual cards have a PCIe 3.0 x8 interface and have support for unspecified HDMI and DP interfaces, as well as D-Sub VGA ports. Driver support includes DirectX 11, OpenGL 4.5 and OpenCL 1.2. The GPU is also said to have hardware offload support for HEVC and H.264 hardware encoding, as well as decoding for both formats, plus most other common video formats, although, oddly enough, support for AVS, which is China's homebrewed video codec, is missing. OS support includes various Chinese flavours of Linux, Ubuntu and Windows according to Glenfly and outside of the x86 processor world, MIPS and arm based processors are said be supported.

Foxconn Eyeing Malaysia for Semiconductor Fab

Foxconn's ambitions as a semiconductor player are growing with yet another potential joint venture being announced, this time in Malaysia. Hang on, Foxconn doesn't make semiconductors you might be thinking right now, but the company has actually owned semiconductor fabs since 2016, when it acquired Sharp and ended up with an 8-inch fab in Fukuyama in Japan. Foxconn has also tried to buy an 8-inch fab in Malaysia in 2020, as well as trying to take over Taiwanese non-volatile memory manufacturer Macronix at one point. It seems like the company has decided to take a different route this year, with news of a joint venture in India and now plans of another joint venture with Dagang NeXchange Berhad (DNex) in Malaysia.

The two companies have signed a memorandum of understanding (MOU), although it's a subsidiary of Foxconn, Big Innovation Holding Limited (BIH) that actually signed the paperwork. The MOU is about building a 12-inch fab according to Focus Taiwan/CNA which reports that the fab will be making chips using 40 to 28 nm processes. It's possible that this will be for the various EV projects that Foxconn is involved with and it would be a less risky way to enter the semiconductor market for Foxconn. The fab is said to be able to output 40,000 wafers a month once it starts, although when this will be is anyone's guess at the moment. Foxconn's focus is on what the company calls the 3 plus 3 initiative, which relates to electrical vehicles, robotics and healthcare solutions, which are built in AI, semiconductors and communication technologies, all presumably from within Foxconn. Time will tell if Foxconn manages to pull this off, but until the construction of the fab has started, this is nothing more than a potential project for Foxconn.

NVIDIA Releases Security Update 473.47 WHQL Driver for Kepler GPUs

Ten years ago, in 2012, NVIDIA introduced its Kepler series of graphics cards based on the TSMC 28 nm node. Architecture has been supported for quite a while now by NVIDIA's drivers, and the last series to carry support was the 470 driver class. Today, NVIDIA pushed a security update in the form of a 473.47 WHQL driver that brings fixes to various CVE vulnerabilities that can cause anything from issues that may lead to denial of service, information disclosure, or data tampering. This driver version has no fixed matters and doesn't bring any additional features except the fix for vulnerabilities. With CVEs rated from 4.1 to 8.5, NVIDIA has fixed major issues bugging Kepler GPU users. With a high risk for code execution, denial of service, escalation of privileges, information disclosure, and data tampering, the 473.47 WHQL driver is another step for supporting Kepler architecture until 2024, when NVIDIA plans to drop the support for this architecture. Supported cards are GT 600, GT 700, GTX 600, GTX 700, Titan, Titan Black, and Titan Z.

The updated drivers are available for installation on NVIDIA's website and for users of TechPowerUp's NVCleanstall software.

Top 10 Foundries Post Record 4Q21 Performance for 10th Consecutive Quarter at US$29.55B, Says TrendForce

The output value of the world's top 10 foundries in 4Q21 reached US$29.55 billion, or 8.3% growth QoQ, according to TrendForce's research. This is due to the interaction of two major factors. One is limited growth in overall production capacity. At present, the shortage of certain components for TVs and laptops has eased but there are other peripheral materials derived from mature process such as PMIC, Wi-Fi, and MCU that are still in short supply, precipitating continued fully loaded foundry capacity. Second is rising average selling price (ASP). In the fourth quarter, more expensive wafers were produced in succession led by TSMC and foundries continued to adjust their product mix to increase ASP. In terms of changes in this quarter's top 10 ranking, Nexchip overtook incumbent DB Hitek to clinch 10th place.

TrendForce believes that the output value of the world's top ten foundries will maintain a growth trend in 1Q22 but appreciation in ASP will still be the primary driver of said growth. However, since there are fewer first quarter working days in the Greater China Area due to the Lunar New Year holiday and this is the time when some foundries schedule an annual maintenance period, 1Q22 growth rate will be down slightly compared to 4Q21.

UMC Announces New 22nm Wafer Fab in Singapore

United Microelectronics Corporation ("UMC" or "The Company"), a leading global semiconductor foundry, today announced that its Board of Directors has approved a plan to build a new advanced manufacturing facility next to its existing 300 mm fab (Fab12i) in Singapore. The first phase of this greenfield fab will have a monthly capacity of 30,000 wafers with production expected to commence in late 2024.

The new fab (Fab12i P3) will be one of the most advanced semiconductor foundries in Singapore, providing UMC's 22/28 nm processes. The planned investment for this project will be US$5 billion. UMC has operated as a pure-play foundry supplier in Singapore for more than 20 years and the location is also the company's designated R&D center for advanced specialty technologies. To account for the Fab12i expansion, the company's 2022 capex budget will be revised upward to US$3.6 billion.

Supply Constraints Hits Some Raspberry Pi Products

No-one seems to be immune to the current spat of component shortages and Even Upton from the Raspberry Pi foundation has announced that the 2 GB SKU of Raspberry Pi 4 will be going up in price, to its previous US$45 price point, from its current US$35. They will also bring back the 1 GB SKU of the Raspberry Pi until things settle down and it'll retail for $35.

They're also shifting their production priorities to be able to meet the demand of some products, as they're experiencing a fab allocation shortage on the 40 nm node on which the Raspberry Pi 3 SoCs are being made. As such, the Raspberry Pi 3B+ might end up in short supply, as the Raspberry Pi foundation will focus on its Raspberry Pi 3 and 3+ compute modules, as well as the Raspberry Pi 3B.

Samsung Foundry Announces GAA Ready, 3nm in 2022, 2nm in 2025, Other Speciality Nodes

Samsung Electronics, a world leader in advanced semiconductor technology, today unveiled plans for continuous process technology migration to 3- and 2-nanometer (nm) based on the company's Gate-All-Around (GAA) transistor structure at its 5th annual Samsung Foundry Forum (SFF) 2021. With a theme of "Adding One More Dimension," the multi-day virtual event is expected to draw over 2,000 global customers and partners. At this year's event, Samsung will share its vision to bolster its leadership in the rapidly evolving foundry market by taking each respective part of foundry business to the next level: process technology, manufacturing operations, and foundry services.

"We will increase our overall production capacity and lead the most advanced technologies while taking silicon scaling a step further and continuing technological innovation by application," said Dr. Siyoung Choi, President and Head of Foundry Business at Samsung Electronics. "Amid further digitalization prompted by the COVID-19 pandemic, our customers and partners will discover the limitless potential of silicon implementation for delivering the right technology at the right time."

UMC Investing $3.6 billion on 28 nm Manufacturing Capabilities Amidst Worldwide Semiconductor Shortages

UMC has announced plans to invest $3.6 billion in increasing output from its 28 nm manufacturing facilities. This move comes amidst a global semiconductor shortage, and isn't the first time a semiconductor manufacturer "dust off" their older manufacturing processes as a way to remove pressure from more modern silicon manufacturing capabilities. In this case, UMC will be increasing manufacturing output from its 300 mm Fab 12A facility in Tainan, Taiwan.

UMC has entered agreements with some of its clients, who will be paying upfront for expected chip rollout in the future. In exchange, clients will get the benefits of preset pricing (thus avoiding any potential increases arising from increased demand or general price fluctuation), as well as UMC's assurance of certain manufacturing volume allocation towards their needs. Fab 12A currently manufactures 90,000 300 mm wafers per month (wpm). An additional 10,000 wpm is being installed this year and phase six will add another 27,500 wpm to the mix. The mature 28 nm tools will be installed in floors that already feature support for future tooling upgrades to 14 nm. UMC expects to hire around 1,000 additional employees as part of this expansion effort.

China Develops Tools for 28 nm Silicon Manufacturing

When the US decided to impose sanctions on all US-made technology use in foreign countries (China), the Chinese semiconductor manufacturing industry seemed at the time that it would just completely stop. Without the tools to manufacture silicon, Chinese manufacturers would need to turn to other countries to search for a possible solution. That, however, turned out impossible as the US administration has decided to stop the silicon from going into the hands of Chinese companies, by making a condition that any US-made technology can not get to China. Many of the parts for silicon manufacturing are designed in the US, so they have the power to restrict the use.

Today, in a surprising turn of events, we have information that Shanghai Micro Electronic Equipment (SMEE) has developed a deep ultraviolet (DUV) lithography scanner that is set for delivery in 2021. With a plan to deliver it in the fourth quarter of 2021, SMEE has designed this DUV scanner for the production of 28 nm node. While not being the most advanced node available to date, it is a significant start for Chinese technology independence. ASML, the producer of such scanners, used to be one of the few options there, however, it just gained a competitor. China will deliver its new silicon on a 28 nm process at the end of 2021. Pictured below, you can see how the scanner from SMEE looks like.

Huawei to Enter Silicon Manufacturing Business without US Technologies

Semiconductor manufacturing has been the latest victim of the recent trade war between China and the United States. With the US imposing sanctions on Chinese manufacturers, they have not been able to use any US technology without the approval of the US government. That has caused many companies to lose customers and switch their preferred foundry. The US government has also decided to sanction a Chinese company Huawei from accessing any US-technology-based manufacturing facilities, thus has prevented the Chinese company from manufacturing its chips in the facilities of TSMC. Left without almost any way to keep up with the latest semiconductor technology, Huawei is reportedly working on its own manufacturing facilities.

According to the Financial Times, Huawei is about to enter domestic silicon production with its partner company Shanghai IC R&D. And a big note here is that the manufacturing facility will not use any US technology. The production is allegedly going to start as soon as the end of this year, and the first process that will come out the door will be a rather outdated 45 nm node. The company is expecting to move on to a more advanced 28 nm node by the end of next year. While the capacities are unknown, we can assume that it will be enough for the company's purposes. With this move, Huawei will be 100% independent from any US influence and will own the complete vector of software and hardware, that is a custom made design by the company.
Huawei R&D Center

TSMC Witnesses 28 nm Process Demand Soar

Recently, the technology trade war between the US and China has been very challenging for Chinese semiconductor manufacturers. With a new regulation to prevent the use of US technology on foreign lands, the US administration has managed to prevent many companies from manufacturing the latest processes, and they have lost a part of their customer base. In awe of this craze, it seems like many silicon designers are storming to the competing foundries to get their designs taped out. According to the DigiTimes report, TSMC has seen a massive spike in demand for its 28 nm semiconductor node. The surge is going to reach a peak of almost 100% in the fourth quarter this year. The growth is mainly being driven by Chinese customers who are switching their manufacturing facilities. The report indicated that Qualcomm, as well, is a big part of the growth besides the remaining companies.
Return to Keyword Browsing
May 1st, 2024 05:57 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts