News Posts matching #Taiwan

Return to Keyword Browsing

MediaTek's Dimensity 9300 SoC Predicted to Have Fighting Chance Against Snapdragon 8 Gen 3

Early details of MediaTek's next generation mobile chipset have emerged this week, courtesy of renowned leaker Digital Chat Station via their blog on Weibo. The successor to MediaTek's current flagship Dimensity 9200 mobile chipset will likely be called "Dimensity 9300" - a very imaginative bump up in numbering - with smartphone brand Vivo involved as a collaborator. The tipster thinks that the fabless semiconductor company has contracted with TSMC for fabrication of the Dimensity 9300 chipset - and the foundry's N4P process has been selected by MediaTek, which could provide a bump in generational performance when compared to the older 4 nm and 5 nm standards used for past Dimensity SoC ranges. It should be noted that the current generation Dimensity 9200 chipset is presently manufactured via TSMC's N4P process.

MediaTek is seeking to turnaround its fortunes in the area of flagship mobile chipsets - industry watchdogs have cited a limited uptake of the Taiwanese company's Dimensity 9200 SoC as a motivating factor in the creation of a very powerful successor. Digital Chat Station suggests that the upcoming 9300 model will pack enough of a hardware punch to rival Qualcomm's forthcoming Snapdragon 8 Gen 3 SoC - both chipsets are touted to release within the same time period of late 2023. According to previous speculation, Qualcomm has also contracted with TSMC's factory to pump out the Snapdragon 8 Gen 3 via the N4P (4 nm) process.

AUO to Unveil 49-inch Ultrawide Curved 5K 360 Hz Gaming Display Panel at Touch Taiwan

Tomorrow, the Touch Taiwan trade show kicks off in Taipei and AUO will be showing off a range of new products at the show, which TPU will be attending, so we'll have some hands/eyes on coverage this week. As far as gaming monitors are concerned, the big announcement from AUO is a 49-inch ultrawide, curved 5K display panel that supports refresh rates of up to 360 Hz. The company didn't reveal the actual resolution in its press release, but we'll find out at the trade show what 5K resolution means in this case, as it's most likely 5120 x 1440, since this is what most 32:9 5K displays offer today.

AUO will also be showing off a 24-inch, 540 Hz, 1080p display panel that the company claims will "eliminate screen tearing, stuttering, and ghosting issues. There's no mention of the display panel used, but it's most likely a TN panel. Somewhat less gaming related, AUO will also have a 17.3-inch, transparent Micro LED notebook panel on display, which the company says will enhance the display quality under glare, something they'll hopefully be demoing at the trade show.

NVIDIA CEO Jensen Huang Confirmed as Headline Speaker at Computex 2023

Taiwan's External Trade Development Council (TAITRA) has announced the keynote speaker for Computex 2023 - NVIDIA co-founder and current CEO Jensen Huang is confirmed as the main host of the opening ceremony event. The forthcoming computer trade show will have a general opening on May 30, with a keynote address delivered by Huang scheduled for the day before. Computex 2023 is set to be hosted at the Taipei Nangang Exhibition Center (Hall 1 & Hall 2) until June. Co-organizer TAITRA boasts that 1000 exhibitors (from 17 nations) will participate in show floor activities. 3000 individual booths will be setup for exploration by prospective attendees.

Huang is one of several key electronics company CEOs announced as participants in conference events. He joins key representatives from firms such as Qualcomm, Acer, NXP Semiconductors and Supermicro. NVIDIA's leader was named in Time's 100 List of Most Influential People for the year 2021, and is a winner of numerous other awards, so it is no wonder that he gets top billing at Computex 2023. Attendees have a lot to look forward to, not limited to a (potentially) dynamic keynote speech delivered by Huang, since this year's show will be an open door affair. A return to proceedings last experienced in 2019, prior to global shutdowns.

Strict Restrictions Imposed by US CHIPS Act Will Lower Willingness of Multinational Suppliers to Invest

TrendForce reports that the US Department of Commerce recently released details regarding its CHIPS and Science Act, which stipulates that beneficiaries of the act will be restricted in their investment activities—for more advanced and mature processes—in China, North Korea, Iran, and Russia for the next ten years. The scope of restrictions in this updated legislation will be far more extensive than the previous export ban, further reducing the willingness of multinational semiconductor companies to invest in China for the next decade.

CHIPS Act will mainly impact TSMC; and as the decoupling of the supply chain continues, VIS and PSMC capture orders rerouted from Chinese foundries
In recent years, the US has banned semiconductor exports and passed the CHIPS Act, all to ensure supply chains decoupling from China. Initially, bans on exports were primarily focused on non-planar transistor architecture (16/14 nm and more advanced processes). However, Japan and the Netherlands have also announced that they intend to join the sanctions, which means key DUV immersion systems, used for producing both sub-16 nm and 40/28 nm mature processes, are likely to be included within the scope of the ban as well. These developments, in conjunction with the CHIPS Act, mean that the expansion of both Chinese foundries and multinational foundries in China will be suppressed to varying degrees—regardless of whether they are advanced or mature processes.

Intel's Next Generation GPUs to be Made by TSMC, Celestial Set for 3 nm Process

Intel has awarded TSMC with some big contracts for future manufacturing of next generation GPUs, according to Taiwan's Commercial Times. As previously covered on TPU, the second generation Battlemage graphics processing units will get fabricated via a 4 nm process. According to insider sources at both partnering companies, Intel is eyeing a release date in the second half of 2024 for this Xe2-based architecture. The same sources pointed to the third generation Celestial graphics processing units being ready in time for a second half of 2026 launch window. Arc Celestial, which is based on the Xe3 architecture, is set for manufacture in the coming years courtesy of TSMC's N3X (3 nm) process node.

One of the sources claim that Intel is quietly confident about its future prospects in the GPU sector, despite mixed critical and commercial reactions to the first generation line-up of Arc Alchemist discrete graphics cards. The company is said to be anticipating great demand for more potent versions of its graphics products in the future, and internal restructuring efforts have not dulled the will of a core team of engineers. The restructuring process resulted in the original AXG graphics division being divided into two sub-groups - CCG and DCAI. The pioneer of the entire endeavor, Raja Koduri, departed Intel midway through last month, to pursue new opportunities with an AI-focused startup.

CHIPS Act Requirements Untenable According to Silicon Manufacturers in South Korea and Taiwan

Silicon manufacturers in South Korea and Taiwan have questioned the requirements outlined in the United States Chips and Science Act - South Korean President Yoon Suk Yeol spoke on Thursday March 30, and said that there was a growing concern within companies Samsung Electronics Corporation and SK Hynix Inc. with regard to criteria for new U.S. semiconductor subsidies. Excess profit sharing is one area of contention, as the U.S. government will expect dividends to be paid under special conditions. The companies are also reluctant to meet the requirements of submitting detailed information about fab capacity and yield estimates. Leaders are pointing to the potential sensitive nature of exposing too much confidential corporate strategy to bodies in the USA, and sources within Samsung and SK Hynix are worried that budgetary planning information will be revealed in minute detail.

The CHIPS Act grants a $52 billion pool of research and manufacturing funds, and subsidies would be sourced from it. SK Hynix's parent group is considering an application in order to gain access to funding via the CHIPS Act, the SK Group has formed plans to invest $15 billion of its own money into the U.S. chip manufacturing sector - a North American location for an advanced chip packaging plant is being decided upon. Samsung has invested a substantial $25 billion into its Texas operation, so is eligible to receive U.S. government subsidies as well.

TSMC's 3 nm Node at Near 50 Percent Utilisation, Other Nodes Seeing Lower Demand

Based on multiple reports out of Taiwan, TSMC is seeing increased utilisation of its 3 nm node and its production line is now at close to 50 percent utilisation. The main customer here is without a doubt Apple and TSMC is churning out some 50-55,000 wafers a month on its 3 nm node. TSMC is also getting ready to start production on its N3E node later this year, which will see some customers move to the node.

However, it's not all good news, as TSMC is seeing a decline in utilisation on its 5/4 and 7/6 nm nodes as demand has dropped significantly here, with different news outlets reporting different figures. Some are suggesting the 7/6 nm nodes might have dropped as low as to 50 percent utilisation, others mention 70 percent. The 5/4 nm nodes aren't anywhere nearly as badly affected and remain at around 80 percent utilisation. The good news for TSMC is that this is expected to be a temporary slump in demand and most of its leading edge nodes should be back at somewhere around a 90 percent utilisation rate by the second half of the year. However, this depends on what the demand for its partners' products will look like going forward, as many of TSMC's customers are seeing lower demand for their products in turn.

Price War Looming for Mature Fab Nodes in Taiwan

The smaller foundries in Taiwan—at least compared to TSMC—UMC, PSMC and VIS to name the bigger players, but also other less well known foundries that produce chips on mature nodes, are getting ready for what looks like a price war. In all fairness, all of these companies have hiked their prices multiple times over the past couple of years, so it might just be a return to more normal pricing for these nodes that we're looking at. According to UDN media in Taiwan, the smaller foundries are offering discounts that range between 10 and 20 percent for new orders placed with them.

This is largely due to underutilised production lines for some nodes and the companies are trying to increase the utilisation rate of these nodes. The article mentions that the foundries with 8-inch wafer lines are those hardest hit, especially as they've produced more specialised ICs, such as fingerprint sensors, various driver ICs and power management ICs to mention a few. Some of these foundries are now running at 50 to 60 percent of their capacity, which doesn't bode well for the industry. On the other hand, 12-inch fabs aren't nearly as badly hit and might not offer as attractive discounts to potential customers. Another threat to the Taiwanese foundries is Samsung, which is reportedly also offering around a 10 percent discount on its mature nodes.

Global DRAM Revenue Fell by More Than 30% for 4Q22 as Suppliers Made Large Price Concessions to Drive Shipments, Says TrendForce

According to TrendForce's research, global DRAM revenue fell by 32.5% QoQ to US$12, 281 million for 4Q22. The QoQ decline for 4Q22 is larger than the QoQ decline of 28.8% for 3Q22 and comes close to the QoQ decline of 36% for the final quarter of 2008, when the global economy was in the midst of a major financial crisis. The main cause of the steep revenue drop in 4Q22 was the plummeting overall ASP. DRAM suppliers experienced a rapid accumulation of inventory in 3Q22 due to a freeze in buyers' demand. Subsequently, suppliers were much more energetic in price negotiations for 4Q22 contracts as they were struggling for market share. Among the major categories of DRAM products, server DRAM suffered the sharpest price drop in 4Q22. Contract prices of DDR4 and DDR5 server DRAM products registered QoQ drops of 23~28% and 30~35% respectively.

Silicon Motion's SM2504XT PCIe 5.0 NVMe SSD Controller Specs Leak

One of the major issues with M.2 based NVMe SSDs today is the excessive heat they output, part of the reason most of them run so hot is because of the older fabrication node they're made on. Most current PCIe 4.0 NVMe SSD controllers are built on a 12 nm node of some kind, but based on data out of IT Home in the PRC, we now have details of Silicon Motion's SM2504XT PCIe 5.0 NVMe SSD controller, which appears to be one of the first, if not the first, to be made on a 7 nm node.

Although it's not mentioned specifically who Silicon Motion's manufacturing partner is, it's most likely going to be TSMC, as Silicon Motion is a Taiwanese company. The SM2504XT will be a mainstream 4-channel controller, but it'll support NAND flash rated at up to 3600 MT/s, which is a step up from any other currently announced NVMe SSD controller. It also supports the NVMe 2.0 protocol and will have a PCIe 5.0 x4 host system interface. The new controller is expected to have hit the engineering sample stage some time in September this year.

Silicon Wafer Pricing Falling for the First Time in Three Years

Semiconductors are largely made using silicon, even though there are other types of substrates that can be used as well, such as gallium nitride or silicon carbide. However, most semiconductors today are made using silicon wafers, which in turn means that silicon wafers are a key material in the semiconductor industry. Over the past three years, the cost of silicon wafers have increased in pricing, due to higher demand, as there has been a higher demand for semiconductors. However, as there are a limited number of suppliers of silicon wafers, especially at the larger 12-inch size, the increased cost in materials has had an impact on the cost of the final semiconductors.

Reports out of Taiwan are suggesting that the price of 12-, 8- and 6-inch wafers are all starting to see a decline in price. We're talking single digit percentages here and it should be noted that these are spot prices, not contract prices, which are negotiated between the parties a long time before delivery. That said, the fact that the spot prices are point downwards also means that companies with not so great contract pricing are starting to want to renegotiate their contract pricing, as even a small saving here can lead to a bigger saving further down the line. Many IC manufacturers have also asked to pause their contract orders, as the utilisation rate of many foundry nodes are going down, which means the foundries aren't in need of as many wafers as they have ordered. Hopefully this will all lead to lower prices across the board when it comes to semiconductors this year, but it's too early to draw any real conclusions. It's also possible that the end customers won't see any direct benefits from lower costs to the manufacturers.

Foundry Revenue is Forecasted to Drop by 4% YoY for 2023, TrendForce Notes

TrendForce's recent analysis of the foundry market reveals that demand continues to slide for all types of mature and advanced nodes. The major IC design houses have cut wafer input for 1Q23 and will likely scale back further for 2Q23. Currently, foundries are expected to maintain a lower-than-ideal level of capacity utilization rate in the first two quarters of this year. Some nodes could experience a steeper demand drop in 2Q23 as there are still no signs of a significant rebound in wafer orders. Looking ahead to the second half of this year, orders will likely pick up for some components that underwent an inventory correction at an earlier time. However, the state of the global economy will remain the largest variable that affect demand, and the recovery of individual foundries' capacity utilization rates will not occur as quickly as expected. Taking these factors into account, TrendForce currently forecasts that global foundry revenue will drop by around 4% YoY for 2023. The projected decline for 2023 is more severe when compared with the one that was recorded for 2019.

Top 10 TSMC Customers Said to have Cut Orders for 2023

On the day of TSMC's celebration of the mass production start of its 3 nm node, news out of Taiwan suggests that all of its top 10 customers have cut their orders for 2023. However, the cuts are unlikely to affect its new node, but rather its existing nodes, with the 7 and 6 nm nodes said to be hit the hardest, by as much as a 50 percent utilisation reduction in the first quarter of 2023. The 28 nm and 5 and 4 nm nodes are also said to be affected, although it's unclear by how much at this point in time.

Revenue is expected to fall by at least 15 percent in the first quarter of 2023 for TSMC, based on numbers from DigiTimes. The fact that TSMC has increased its 2023 pricing by six percent should at least help offset some of the potential losses for the company, but it all depends on the demand for the rest of the year. Demand for mobile devices is down globally, which is part of the reason why so many of TSMC's customers have cut back their orders, as Apple, Qualcomm and Mediatek all produce their mobile SoCs at TSMC. Add to this that the demand for computers and new computer components are also down, largely due to the current pricing and TSMC is in for a tough time next year.

AMD Said to be Following NVIDIA with Logistics Center in Taiwan

Just over a month ago, news broke that NVIDIA is planning to move its logistics center from Hong Kong to Taiwan and it now looks like AMD is getting ready to follow suit. The news is coming via Taiwan's United Daily News (UDN) and the paper claims that AMD will be setting up its logistics center in the Farglory free trade zone area in the Dayuan district of Taoyuan, which is next to Taiwan's main international airport. Currently companies like ASML and Asus operate inside the free trade zone and Farglory is currently in the last phase of an expansion of the free trade zone, which should be finalised sometime early in 2023. As such, there should be plenty of space for both NVIDIA and AMD to set up their logistics centers there.

The move for AMD doesn't seem to make as much sense as that of NVIDIA, as AMD's main graphics card partners, which should be Sapphire and XFX (Pine Group), are Hong Kong based companies. That said, AMD still has PowerColor, ASRock, Asus, Gigabyte and MSI in Taiwan, but only PowerColor is an exclusive AMD partner. This does of course not take motherboards or servers into account, where its Taiwanese partners are key. On the other hand, just as NVIDIA, AMD produces its GPUs at TSMC, so for products that doesn't end up in the PRC, it would make more sense to have them go straight to a logistics center in Taiwan, rather than having to ship them out to Hong Kong and then back to Taiwan again. According to UDN it's apparently also cheaper for AMD to shop goods directly from Taiwan to the PRC, as it's apparently quite costly to ship via Hong Kong. Another reason is of course the ongoing trade war between the US and the PRC, which could lead to future issues for both AMD and NVIDIA. Hong Kong has also slowly lost its importance as a key center in the electronics business, with Taiwan and Singapore having become more important hubs, according to UDN.

TSMC Holds 3nm Volume Production and Capacity Expansion Ceremony, Marking a Key Milestone for Advanced Manufacturing

TSMC today held a 3 nanometer (3 nm) Volume Production and Capacity Expansion Ceremony at its Fab 18 new construction site in the Southern Taiwan Science Park (STSP), bringing together suppliers, construction partners, central and local government, the Taiwan Semiconductor Industry Association, and members of academia to witness an important milestone in the Company's advanced manufacturing.

TSMC has laid a strong foundation for 3 nm technology and capacity expansion, with Fab 18 located in the STSP serving as the Company's GIGAFAB facility producing 5 nm and 3 nm process technology. Today, TSMC announced that 3 nm technology has successfully entered volume production with good yields, and held a topping ceremony for its Fab 18 Phase 8 facility. TSMC estimates that 3 nm technology will create end products with a market value of US$1.5 trillion within five years of volume production.

TSMC to Mark 3 nm Mass Production Start, Looking at Potential New Fabs in Japan and Germany

According to news out of Taiwan, TSMC will hold a ceremony to mark the official mass production start of its 3 nm node on the 29th of December. This is said to help "shatter doubts about de-Taiwanization" or in simpler terms, that Taiwan will lose its golden goose as TSMC invests abroad. The 3 nm fab—known as fab 18—is based in southern Taiwan's Tainan and the ceremony also marks the start of an expansion of TSMC's most advanced fab. TSMC is said to be kicking off its N3E node production sometime in the second half of 2023, followed by its N3P node in 2024, all of which should take place at fab 18, which also produces 5 nm wafers.

In related news, according to Reuters, a Japanese lawmaker from the ruling party has said that TSMC is considering a second plant in Japan, in addition to its current joint venture that is already under construction. TSMC's response to Reuters was that the company isn't ruling out Japan for future fabs, but that the company doesn't have any current plans. At the same time, TSMC is said to be sending executives to Dresden, Germany in early 2023, for a second round of talks about building a fab to help support the European auto industry, although this would be a 28/22 nm fab, which is far from cutting edge these days, although a lot more advanced than most fabs making chips for the auto industry.

Global Total Semiconductor Equipment Sales Forecast to Reach Record High in 2022

Global sales of total semiconductor manufacturing equipment by original equipment manufacturers are forecast to reach a new high of $108.5 billion in 2022, rising 5.9% from the previous industry record of $102.5 billion in 2021, SEMI announced today in its Year-End Total Semiconductor Equipment Forecast - OEM Perspective at SEMICON Japan 2022. The record high caps three consecutive years of record revenue. The global total semiconductor manufacturing equipment market is expected to contract to $91.2 billion next year before rebounding in 2024 driven by both the front-end and back-end segments.

"Record fab constructions have driven total semiconductor manufacturing equipment sales to cross the $100 billion mark for a second straight year," said Ajit Manocha, SEMI president and CEO. "Emerging applications in multiple markets have set expectations for significant semiconductor industry growth this decade, which will necessitate further investments to expand production capacity."

Global Chip Industry Projected to Invest More Than $500 Billion in New Factories by 2024

The worldwide semiconductor industry is projected to invest more than $500 billion in 84 volume chipmaking facilities starting construction from 2021 to 2023, with segments including automotive and high-performance computing fueling the spending increases, SEMI announced today in its latest quarterly World Fab Forecast report. The projected growth in global factory count includes a record high 33 new semiconductor manufacturing facilities starting construction this year and 28 more in 2023.

"The latest SEMI World Fab Forecast update reflects the increasing strategic importance of semiconductors to countries and a wide array of industries worldwide," said Ajit Manocha, SEMI president and CEO. "The report underscores the significant impact of government incentives in expanding production capacity and strengthening supply chains. With the bullish long-term outlook for the industry, rising investments in semiconductor manufacturing are critical to laying the groundwork for secular growth driven by a diverse range of emerging applications."

ASML Said to Have Plans to Bring European Supply Chain to Taiwan

Advanced Semiconductor Materials Lithography, or as the company is more commonly known, ASML, is apparently set to build a new factory in Taiwan for the production of advanced semiconductor lithography equipment. The new factory is said to be built in the Linkou area of New Taipei City and at the same time, bring with it its European supply chain, according to Taiwan Vice Premier Shen Jong-chin.

The new factory in Linkou is still some time away, as construction will only start in July, 2023. It will apparently be ASML's largest investment in Taiwan to date, although an exact figure of the investment wasn't given, but the new factory will take up 6.68 hectares or 66,800 square metres, suggesting it'll be a rather large facility. That said, not all the land will be used for the clean room facilities, as there will also be office buildings and warehouse buildings adjacent to the factory. No details on which suppliers ASML will bring with it to Taiwan, but it's likely that the likes of Zeiss, who supplies many of the mirrors in ASML's machines, will be setting up a local presence, alongside other key ASML suppliers. ASML already has an office in Hsinchu, about an hour south of New Taipei City.

AMD Radeon RX 7900 RDNA3 GPU Launch Could Face Scarcity, China Loses Reference Card Privilege

AMD's next-generation Radeon RX 7900 high-end graphics cards are set to arrive next week and bring the new RDNA3 GPU architecture to the masses. However, it seems like the customers will have to fight for their purchase as the availability could be scarce at launch, leading to potentially increased prices with low stocks. According to Igor's Lab report, Germany will receive only 3,000 reference MBA (Made By AMD) units of Radeon RX 7900 series cards. In contrast, the rest of the EMEA region will receive only 7,000 MBA units. These numbers are lower than expected, so AIB partners may improve the supply once their designs hit shelves.

On the other hand, mainland China will not receive any MBA units of the new cards as a sign of increasing tension with Taiwan. Of course, AMD's board partners will supply their designs to China, and they are allowed to; however, it seems that only AMD is making a statement here. In addition to supply issues, the launch is rumored to be covered in BIOS issues such as memory leaks and the COVID-19 outbreak affecting production in closed factories. Of course, all of this information should be taken with a grain of salt, and we must wait for the official launch before making any further assumptions.

US Might Reimpose GPU Import Tariffs in the New Year

Currently, the US has an exclusion in place when it comes to import tariffs relating to graphics cards and GPUs imported from China, but the exclusion is set to expire on the 31st of December this year. So far, the US government has been quiet on whether or not the import tariff will be reinstated or not. If the tariff was to be reinstated, US consumers are looking at a 25 percent import duty on graphics cards, starting on the 1st of January, 2023.

There's no easy way to circumvent the tariff either, as it includes items like "printed circuit assemblies, constituting unfinished logic boards," according to Tom's Hardware. Not all graphics cards are made in China though, but the majority of graphics cards are today. It's possible that NVIDIA's move of its logistics center from Hong Kong to Taiwan could have some relation to this as well, as NVIDIA would then be shipping products out of Taiwan, rather than China, depending on how the US Customs classifies Hong Kong these days. We should know what happens in a month's time, but a 25 percent import duty on graphics cards will likely kill most sales, as most people already find them overpriced. This would of course affect AMD and NVIDIA, as well as their partners in the same way, unless they make their graphics cards outside of China.

Phison Enterprise PCIe 4.0 X1 SSD Solution Received 2023 Taiwan Excellence Award

Phison Electronics Corp., a global leader in NAND flash controller and storage solutions, announced that its latest flagship enterprise PCIe 4.0 X1 SSD solution, which was developed in partnership with Seagate was awarded the 2023 Taiwan Excellence Award. "We are very pleased that Phison's enterprise PCIe 4.0 X1 SSD solution has received this honor," said K.S. Pua, CEO or Phison. "For Taiwanese products, the mark of Taiwan Excellence is associated with innovative values that are renowned in the international market for excellence and quality."

The Taiwan Excellence Awards were established by the Ministry of Economic Affairs of Taiwan in 1993. Every year, eligible candidates are subjected to a rigorous and stringent selection system that covers four major aspects of R&D, Design, Quality, and Marketing to identify outstanding products that offer "Innovative Value" while satisfying the key criterion of being "made in Taiwan." Products that have been selected for the Taiwan Excellence Awards will serve as domestic industry examples while being promoted by the government in the international market to shape the creative image for Taiwanese businesses.

NVIDIA to Relocate Logistics Center From Hong Kong to Taiwan

According to news out of Taiwan, NVIDIA is getting ready to move its logistics center from Hong Kong to Taiwan. The information comes from Taiwan's Minister of Economic Affairs, Wang Mei-Hua, so the source should be fairly reliable. The Taiwanese government has apparently been in negotiation with NVIDIA since some time last year and the two have now reached a consensus.

The media report didn't mention where NVIDIA will locate its new logistics center, but the company and the Taiwanese government have been discussing tax subsidies to help facilitate the move. Wang told the local media that the new logistics center should boost the local industry, least not because NVIDIA is already working with TSMC, as well as many other local suppliers and manufacturers. No details were given on when the move would take place, but it's likely to be a transition that will be drawn out, due to the fact that NVIDIA is still going to have to supply all of its customers during the move.

TSMC's Morris Chang Says Arizona Fab Will Produce 3 nm Chips in the Future

Although Morris Chang is no longer in charge of the day to day business at TSMC, the founder of the company is still getting his hands dirty. Chang attended the APEC Economic Leaders Meeting last week, as part of Taiwan's delegation and was questioned by the media about TSMC's future plans. The specific question was about TSMC's Arizona fab, which will initially produce chips using a 5 nm node. The US$12 billion plant is scheduled to kick off production at some point in 2024, by which time the 5 nm node should be a commonly used node rather than close to cutting edge.

When questioned about the future of the Arizona fab, Morris Chang answered that it will be moving to a 3 nm node, which is currently TSMC's most cutting edge node, that has gone into volume production earlier this year with th N3 node, which is set to be followed by the N3E node. According to Chang, there's interest by several countries to have TSMC set up fabs there, but apparently this is not something TSMC is considering at the moment. One potential reason for this would be a suitable labour force, something that has already proven to be tough for the Arizona fab.

Taiwan Reportedly Preparing a Domestic Version of CHIPS Act, To Reveal More Details on Thursday

Suppose you are following the inside of the semiconductor industry. In that case, you must be aware of the United States CHIPs act, where the US government plans to invest billions of dollars into domestic companies to boost the production of semiconductors devices on American soil. However, it seems like the Biden administration isn't the only governing body that realizes the importance of making semiconductors that power everything from entertainment to government, as Taiwan is supposed to announce a similar act for Taiwanese semiconductor makers like TSMC, MediaTek, GlobalWafers, UMC, etc. to simulate additional manufacturing and development on Taiwanese soil.

On Thursday, the Taiwanese government is expected to announce a 25% tax cut for research and development efforts of companies manufacturing their chips on the domestic playground. In addition to this tax deduction, Taiwan also plans to impose an additional 5% tax break on equipment purchasing, so companies like TSMC and GlobalWafers that purchase leading-edge equipment will be enjoying a healthy 30% smaller tax bill. This money is a Taiwanese way of creating additional funds for R&D purposes so these companies can bolster their CapEx with additional funds. We await to see what will happen tomorrow and update this story with more information as the official act gets announced.
Return to Keyword Browsing
May 21st, 2024 19:52 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts