News Posts matching #Taiwan

Return to Keyword Browsing

TSMC N1 Node Chip Plant Said to be Under Planning

Based on news out of Taiwan, TSMC is said to be in the early planning stages of yet another chip plant, this time for its first N1 node. The new plant will reportedly be built in a science park in Taoyuan, less than an hour south west of Taipei, according to the Commercial Times. TSMC already has a pair of chip packaging and testing facilities in the science park, making it a suitable location for a chip plant. This will be TSMC's most northern chip manufacturing plant in Taiwan, although it's not expected to start pilot production until sometime in 2027. TSMC hasn't confirmed any of the details, but the company didn't outright deny the report either.

Despite the potential global downturn in the economy, TSMC appears to be fully committed to continue to build new fabs for increasingly smaller nodes. The company is set to start its first commercial production on its N3 node this quarter and is expecting the N3 node to contribute as much as four to six percent of its overall revenue in 2023. Its N2 node should enter commercial production in 2025, but not much is known about the state of the N2 node at this point in time. The N1 node might end up being a 1.4 nm node, based on TSMC's measurements, but the company is still in the very beginning of the R&D phase for this node.

TSMC Cuts Back CAPEX Budget Despite Record Profits

Another quarter, another record breaking earnings report by TSMC, but it seems like the company has released that things are set to slow down sooner than initially expected and the company is hitting the brakes on some of its expansion projects. The company saw a 79.7 percent increase in profits compared to last year, with a profit of US$8.8 billion and a revenue of somewhere between US$19.9 to US$ 20.7 billion for the third quarter, which is a 47.9 percent bump compared to last year. TSMC's 5 nm nodes were the source for 28 percent of the revenues, followed by 26 percent for 7 nm nodes, 12 percent for 16 nm and 10 percent for 28 nm, with remaining nodes at 40 nm and larger making up for the remainder of the revenue. By platform, smartphone chips made up 41 percent, followed by High Performance Computing at 39 percent, IoT at 10 percent and automotive at five percent.

TSMC said it will cut back its CAPEX budget by around US$4 billion, to US$36 billion, compared to the earlier stated US$40 billion budget the company had set aside for expanding its fabs. Part of the reason for this is that TSMC is already seeing weaker demand for products manufactured using its N7 and N6 nodes, as the N7 node was meant to be a key part of the new fab in Kaohsiung in southern Taiwan. TSMC is expecting to start production on its first N3 node later this quarter and is expecting the capacity to be fully utilised for all of 2023. Supply is said to be exceeding demand, which TSMC said is partially to blame on tooling delivery issues. TSMC is expecting next year's revenue for its N3 node to be higher than its N5 node in 2020, although the revenue is said to be in the single digit percentage range. The N3E node is said to start production sometime in the second half of next year, or about a quarter earlier than expected. The N2 node isn't due to start production until 2025, but TSMC is already having very high customer engagement, so it doesn't look like TSMC is likely to suffer from a lack of business in the foreseeable future, as long as the company keeps delivering new nodes as planned.

Acer's Predator BiFrost Arc A770 Goes on Sale in Taiwan for as Low as US$313

Acer has launched its Predator BiFrost Arc A770 graphics card in Taiwan and the official pricing appears to be NT$12,900 or US$404, local shops are already selling the card for far less. The BiFrost comes with 16 GB of GDDR6 memory and an overclocking option that boosts the GPU speed from 2,200 MHz to 2,400 MHz. The latter also increases the TDP from 250 to 280 Watts. As seen in the launch announcement pictures, the card has a rather unusual fan design and Acer has even come up with some marketing names for the setup. The blower fan is referred to as Aeroblade 3D and the regular 92 mm fan as Frostblade 2.0. We're not sure how there's a version 2.0 when this is Acer's first retail graphics card.

The card measures 267 x 117.75 mm and has as we've also seen, a pair of 8-pin power connectors. The port configuration consists of three DP 2.0 ports and one HDMI 2.1 port. Local shops are offering the card on sale for US$372 to as little as US$313 (NT$9990), which is only US$13 more than Intel's own Arc A750 cards retail for in Taiwan. This price point makes it a fair bit more attractive, even taking potential driver related issues and hardware limitations into account.

Global 300 mm Semiconductor Fab Capacity Projected To Reach New High in 2025

Semiconductor manufacturers worldwide are forecast to expand 300 mm fab capacity at a nearly 10% compound average growth rate (CAGR) from 2022 to 2025, reaching an all-time high of 9.2 million wafers per month (wpm), SEMI announced today in its 300 mm Fab Outlook to 2025 report. Strong demand for automotive semiconductors and new government funding and incentive programs in multiple regions are driving much of the growth.

"While shortages of some chips have eased and supply of others has remained tight, the semiconductor industry is laying the groundwork to meet longer-term demand for a broad range of emerging applications as it expands 300 mm fab capacity," said Ajit Manocha, SEMI President and CEO. "SEMI is currently tracking 67 new 300 mm fabs or major additions of new lines expected to start construction from 2022 to 2025."

Global Fab Equipment Spending Forecast to Reach All-Time High of Nearly $100 Billion in 2022

Global fab equipment spending for front-end facilities is expected to increase approximately 9% year-over-year (YOY) to a new all-time high of US$99 billion in 2022, SEMI announced today in its latest quarterly World Fab Forecast report. The report also shows the global fab equipment industry increasing capacity this year and again in 2023. "After achieving a record level in 2022, the global fab equipment market is projected to remain healthy next year driven by new fabs and upgrade activity," said Ajit Manocha, SEMI President and CEO.

Taiwan is expected to lead fab equipment spending in 2022, increasing investments 47% YOY to US$30 billion, followed by Korea at US$22.2 billion, a 5.5% decline, and China at US$22 billion, a 11.7% drop from its peak last year. Europe/Mideast this year is forecast to log record high spending of US$6.6 billion, a 141% YOY surge this year though outlays remain comparatively smaller than in other regions. Strong demand for high-performance computing (HPC) advanced technologies is driving the region's jump in spending. The Americas and Southeast Asia are also expected to register record high investments in 2023.

AMD's CEO Lisa Su Planning Trip to Taiwan, Said to be Visiting TSMC to Secure Future Wafer Allocation

Based on a report by Tom's Hardware, AMD's CEO Lisa Su is planning a trip to Taiwan in the next couple of months. It is said that she is planning to meet with multiple partners in Taiwan, such as ASUS, Acer and maybe more importantly, ASMedia, which will be the sole maker of chipsets for AMD, once the X570 chipset is discontinued. AMD is apparently also seeing various less well known partners that deliver parts for its CPUs, such as Nan Ya PCB, Unimicron Technologies and Kinsus Interconnects.

However, it appears that the main reason for Lisa Su herself to visit Taiwan will be to meet with TSMC, to discuss future collaboration with CC Wei, TSMC's chief executive. This is so AMD can secure enough wafer allocation on future nodes, such as its 3 nm and 2 nm class nodes. The move to these nodes is obviously not happening in the near future for AMD, but considering that TSMC is currently the leading foundry and is operating at capacity, it makes sense to get in early, as the competition is stiff when it comes to getting wafer allocation on cutting edge nodes. It's unclear which exact 3 nm class node AMD will be aiming for, but it might be the N3P node, which is said to kick off production sometime next year. Lisa Su is also said to have meetings with TSMC, SPIL and Ase Technology when it comes to advanced packaging for AMD's products. This includes technologies such as chip-on-wafer-on-substrate (CoWoS) and fan-out embedded bridge (FO-EB), with AMD already being expected to use some of these technologies in its upcoming Navi 3x GPUs.

Impact of Earthquake on Production Status of Taiwan's Semiconductor and Panel Industries Limited

On the evening of September 17, an earthquake with a magnitude of 6.4 on the Richter scale occurred in Guanshan Township, Taitung. Yesterday (9/18) afternoon, an earthquake with a magnitude of 6.8 on the Richter scale occurred in Chishang Township, Taitung. Following up on these recent powerful earthquakes, TrendForce's investigation into their impact on Taiwan's semiconductor and panel industries is as follows:

In terms of foundries, due to shock-absorbing plant designs, earthquake vibrations inside fabs are one level of magnitude less than outside. In terms of equipment manufacturers, currently there are no reports of substantial factory damage. In the worst case, some machinery required initialization after crashing. In terms of memory, Nanya Technology has already carried out a shutdown inspection. If there was any wafer damage, Nanya maintains sufficient inventory to compensate. Micron recalled engineers to inspect equipment and has confirmed no losses. Thus, the capacity utilization rate of these two companies has not been affected nor has supply.

Global Top Ten IC Design House Revenue Spikes 32% in 2Q22, Ability to Destock Inventory to be Tested in 2H22, Says TrendForce

According to the latest TrendForce statistics, revenue of the top ten global IC design houses reached US$39.56 billion in 2Q22, growing 32% YoY. Growth was primarily driven by demand for data centers, networking, IoT, and high-end product portfolios. AMD achieved synergy through mergers and acquisitions. In addition to climbing to third place, the company also posted the highest annual revenue growth rate in 2Q22 at 70%.

Qualcomm continues in the No. 1 position worldwide, exhibiting growth in the mobile phone, RF front-end, automotive, and IoT sectors. Sales of mid/low-end mobile phone APs were weak but demand for high-end mobile phone APs was relatively stable. Company revenue reached US$9.38 billion, or 45% growth YoY. NVIDIA benefitted from expanded application of GPUs in data centers to expand this product category's revenue share past the 50% mark to 53.5%, making up for the 13% YoY slump in its game application business, bringing total revenue to US$7.09 billion, though annual growth rate slowed to 21%. AMD reorganized its business after the addition of Xilinx and Pensando. The company's embedded division revenue increased by 2,228% YoY. In addition, its data center department also made a considerable contribution. AMD posted revenue of US$6.55 billion, achieving 70% growth YoY, highest amongst the top ten. Broadcom's sales performance in semiconductor solutions remained solid and demand for cloud services, data centers, and networking is quite strong. The company's purchase order backlog is still increasing with 2Q22 revenue reaching US$6.49 billion, an annual growth rate of 31%.

TSMC has Seven Major Customers Lined Up for its 3 nm Node

Based on media reports out of Taiwan, TSMC seems to have plenty of customers lined up for its 3 nm node, with Apple being the first customer out the gates when production starts sometime next month. However, TSMC is only expected to start the production with a mere 1,000 wafer starts a month, which seems like a very low figure, especially as this is said to remain unchanged through all of Q4. On the plus side, yields are expected to be better than the initial 5 nm node yields. Full-on mass production for the 3 nm node isn't expected to happen until the second half of 2023 and TSMC will also kick off its N3E node sometime in 2023.

Apart from Apple, major customers for the 3 nm node include AMD, Broadcom, Intel, MediaTek, NVIDIA and Qualcomm. Contrary to earlier reports by TrendForce, it appears that TSMC will continue its rollout of the 3 nm node as previously planned. Apple is expected to produce the A17 smartphone and tablet SoC, as well as advanced versions of the M2, as well as the M3 laptop and desktop processors on the 3 nm node. Intel is still said to be producing its graphics chiplets with TSMC, with the potential for GPU and FPGA products in the future. There's no word on what the other customers are planning to produce on the 3 nm node, but MediaTek and Qualcomm are obviously looking at using the node for future smartphone and tablet SoCs, with AMD and NVIDIA most likely aiming for upcoming GPUs and Broadcom for some kind of HPC related hardware.

Bloated Inventory and Manufacturers Sacrificing Pricing for Sales, Consumer DRAM Price Decline Expands to 13~18%, Says TrendForce

According to TrendForce investigations into the DRAM market, under pressure from ever-increasing output, Korean manufacturers have significantly increased their willingness to compromise on pricing in order to stimulate buying from distributors and customers, leading to a steady expansion of falling prices. In addition to Korean manufacturers enthusiastically slashing prices, low-priced chips from the spot market are also circulating in the market. Other suppliers have no choice but to follow suit and fervently reduce pricing for sales, rapidly exacerbating the 3Q consumer DRAM price drop from the original estimate of 8~13% to a quarterly decline of 13-18%.

Looking forward to Q4, it will be difficult for stocking momentum to recover before terminal inventories have been completely depleted. TrendForce expects the price of consumer DRAM to continue to fall until oversupply in the market is alleviated. Thus, consumer DRAM pricing will carry on moving lower by another 3~8% in Q4 and the possibility of sustained decline cannot be ruled out.

More Details Emerge on Mediatek's Intel Foundry Plans

Last week's news about Mediatek signing an agreement to use Intel's Foundry Services (IFS) led to some speculation as to what Mediatek would be manufacturing at IFS. Details have now emerged in the Taiwan press about Mediatek's plans and the first products will be using the Intel 16 process, what was previously known as its 22 nm node. As such, we're not talking about anything cutting edge or even remotely close, but that's hardly a problem for Mediatek, as the company makes a vast range of products suitable for the node.

MediaTek CEO Rick Tsai mentioned that IFS will be used for producing semiconductors for digital TVs and wireless access networks at an investor conference in Taiwan. This suggests that most of the components might not even be for Mediatek itself, but rather its subsidiaries, such as MStar or Airoha. MStar is a company that produces a wide range of lower-end smart TV chips, whereas Airoha has ended up taking over Mediatek's networking and Bluetooth business units. Admittedly, Mediatek still has some of these types of products under its own brand, but these tend to be higher-end products that would require a more advanced node than 22 nm in most cases. Mediatek's move to IFS has raised concerns in Taiwan that the smaller foundries might be losing business from Mediatek over time, which means that UMC and PSMC are going to be on the losing end of this deal.

Semiconductor Companies are Seeing Slower Sales in June

Based on a report from IC Insights, it appears that the demand for semiconductors and memory is starting to slow down. The slowdown is industry wide, with most major players having seen a reduction in sales in June compared to May. Although the report is focused on Taiwanes semiconductor companies, it also mentions Micron, who is expecting a slowdown in the third quarter of this year. Micron is reportedly expecting a drop in sales by as much as 17 percent, although this past quarter the company saw an increase in sales by 11 percent compared to the previous quarter, or 19 percent compared to last year, so it could simply be that the market is starting to normalise.

As for the Taiwanese semiconductor companies, TSMC saw a reduction in sales of five percent in June, although its competitor UMC saw an increase of two percent. Two other Taiwanese foundries, Powerchip and Vanguard, saw a decrease of four percent and an increase of three percent respectively, which shows that the foundry businesses are seeing change based on the type of chips they make. Apart from Winbond and Macronix, the other four companies in the report saw a decrease in sales by anything between two and 26 percent. Novatek, a manufacturer of semiconductors for the display industry saw the biggest dip in sales, with memory manufacturer Nanya seeing a drop of 16 percent. It should be pointed out that Novatek saw an increase in sales of 78 percent during the pandemic, which suggests their customers might not be seeing the same demand for their end products as they did over the past two years. For now, this could just be a slowdown over the summer months, when demand is usually quite low, but it could also be an indication of a return to a more normalised market.

MediaTek Announces Commitment to Open New Semiconductor Design Center at Purdue University in Indiana

Today, leading global fabless chipmaker MediaTek Inc., [joined by Indiana Governor Eric J. Holcomb, Deputy Secretary of Commerce Don Graves, Indiana Secretary of Commerce Bradley B. Chambers, and Purdue College of Engineering's Dr. Mung Chiang] announced their commitment to accept a state transition assistance package from the Indiana Economic Development Commission (IEDC) to support its very first Midwest semiconductor chip design center in West Lafayette, Indiana. MediaTek also shared its intention to create a new research partnership with Purdue to collaborate on engineering talent development and new research on next-generation computing and communications chip design. The news was shared with senior leaders, other international investors and policymakers assembled in National Harbor, Maryland for the 2022 SelectUSA Investment Summit.

This novel partnership in Indiana represents a new U.S. growth model for MediaTek USA; outside the traditional centers of gravity for chip design. "We believe strongly that being in Indiana means we'll have access to some of the best engineering talent in the world," said Dr. Kou-Hung Lawrence Loh, Corporate Senior Vice President of MediaTek Inc. and President of MediaTek USA, Inc. "Not just at Purdue, but West Lafayette is only four hours away from nearly a dozen of the top engineering schools in the country. In the post pandemic world, top candidates tell us they want to be closer to home, near family and they want to have a real house and great schools. Indiana offers all that and more."

TSMC Expected to be Affected by Increased Electricity Costs in Taiwan

The island of Taiwan is in many ways very much still stuck in an era where the government controls most utilities and where there is little to no competition. For example, the government controls fuel prices, be that for your motor vehicle or for cooking and heating. This also applies to the cost of electricity in most cases and the Ministry of Economic Affairs has announced that the electricity price will increase by up to 15 percent for high usage customers, which translates to the industry. The increase might sound tiny at just over 1.3 cents per kilowatt hour, for a total cost of 10.43 cents per kWh. However, a company like TSMC that uses a lot of electricity, is expected to see an increase in costs of at least US$135 million per year, according to some Taiwanese news sources, while others claim it'll be as much as US$270 million.

TSMC does in all fairness produce some of its own electricity thanks to solar panels on many of its buildings and the company has also invested heavily in renewable energy. In fact, TSMC has bought up almost all available renewable capacity in Taiwan and the company is committed to using 100 percent renewable energy in the long term. Currently a mere 8 percent (based on 2020 estimates) is coming from TSMC's own efforts, but the company should be at somewhere around 12-15 percent overall. Even so, these extra costs are likely to be reflected in future customer pricing. It's the first price hike in four years, but as Taiwan is a manufacturing nation, TSMC is unlikely to be the only company affected, but the price hike is related to global inflation and is targeting high-usage businesses and consumers alike.

GlobalWafers Selects Sherman, Texas for New Semiconductor Silicon Wafer Site

Hsinchu, Taiwan-based global semiconductor silicon wafer company, GlobalWafers, announced today that it plans to build a state-of-the-art 300-millimeter silicon wafer factory in Sherman, Texas, which is the first of its kind in the USA over twenty (20) years. Construction is expected to commence later this year. This 300-millimeter greenfield investment is consistent with the Company's announcement on February 6th of this year of brownfield and greenfield expansions totaling NTD 100 bn. This new Texas investment could also support as many as 1,500 jobs with production volumes ultimately reaching 1.2 mn wafers per month after multiple stages of equipment installation, in alignment with market demand.

300-millimeter silicon wafers are the starting material for all advanced semiconductor fabrication sites (or fabs), including recently announced United States (US) expansions by GlobalFoundries, Intel, Samsung, Texas Instruments and TSMC. Most of these wafers are currently manufactured in Asia, forcing the US semiconductor industry to highly rely on imported silicon wafers. This investment will represent the first new silicon wafer facility in the US in over two decades and close a critical semiconductor supply chain gap.

Nanya Kicks Off Construction of US$10 Billion Fab in New Taipei City

Nanya Technology, part of the Formosa Plastics Group, which is one of the largest conglomerates in Taiwan, will hold a ground-breaking ceremony for its new 12-inch fab that will be built in New Taipei City later this week. It'll be the biggest investment Nanya has done in the past decade, as the company is investing US$10.1 billion into building the new fab. This is obviously a lot less than TSMC is investing, but DRAM is made on different nodes to those that TSMC makes its customers products on, since DRAM doesn't benefit as much from node shrinking as other types of semiconductors.

The new fab will be located near one of Nanya's current fabs, in the Taishan district. Mass production is scheduled to start some time in 2025 and the fab is said to have a monthly capacity of around 45,000 wafers. Right now it's scheduled for a 10 nm technology node, but this might change by the time that the fab is up and running, especially considering that Nanya is already producing a range of 10 nm based products in some of its current fabs. Nanya's goal is to develop its next generation of 10 nm DRAM independently from other DRAM makers, as to avoid having to pay patent licence fees to its competitors.

Prices of Consumer-Spec MLCCs Will Drop Further by 3-6% in 2H22 as Demand Continues to Weaken, Says TrendForce

With the course of the COVID-19 pandemic constantly changing, China is sticking with its "Dynamic Zero-COVID Policy" and has been slow to lift the lockdown on its cities that have been recently affected by the outbreaks of the disease. Hence, the manufacturing industries of the major Chinese cities are facing delays in the resumption of normal operation, and a production gap has emerged in 2Q22. For the electronics ODMs, this production gap will be difficult to bridge in 2H22. Additionally, the ongoing global inflation is keeping prices of goods at a very high level, and this trend will dampen the peak-season demand surge during the second half of the year. The effect of the inflationary pressure has been especially noticeable in the demand for consumer electronics such as smartphones, notebook computers, and tablet computers. This, in turn, is also impacting the MLCC market in terms of demand and inventory. Currently, the general inventory level has risen above 90 days for MLCCs of all sizes. Therefore, TrendForce forecasts that prices of consumer-spec MLCCs will fall further by 3-6% on average in 2H22.

On the other hand, demand remains fairly strong in application segments such as high-performance computing solutions (which include servers), networking equipment, industrial automation solutions, and energy storage systems. Furthermore, IDMs in the semiconductor industry will be adjusting the allocation of production capacity as the market for consumer electronics continues to experience a slowdown in 2H22. As a result, the undersupply situation for certain ICs will ease. Moreover, demand will be propped up in the high-end segment of the MLCC market and other application segments (e.g., automotive electronics and industrial equipment). All in all, thanks to the demand related to automotive electronics, servers, networking equipment, etc., TrendForce forecasts that the annual total MLCC shipments will increase by 2% YoY to around 2.58 trillion pieces for 2022.

Global Fab Equipment Spending Expected to Reach Record $109B in 2022, SEMI Reports

Global fab equipment spending for front-end facilities is expected to increase 20% year-over-year (YOY) to an all-time high of US$109 billion in 2022, marking a third consecutive year of growth following a 42% surge in 2021, SEMI announced today in its latest quarterly World Fab Forecast report. Fab equipment investment in 2023 is expected to remain strong.

"The global semiconductor equipment industry remains on track to cross the $100 billion threshold for the first time as shown in our latest update of the World Fab Forecast,"said Ajit Manocha, president and CEO of SEMI. "This historic milestone puts an exclamation point on the current run of unprecedented industry growth."

AMD Said to Become TSMC's Third Largest Customer in 2023

Based on a report in the Taiwanese media, AMD is quickly becoming a key customer for TSMC and is expected to become its third largest customer in 2023. This is partially due to new orders that AMD has placed with TSMC for its 5 nm node. AMD is said to become TSMC's single largest customer for its 5 nm node in 2023, although it's not clear from the report how large of a share of the 5 nm node AMD will have.

The additional orders are said to be related to AMD's Zen 4 based processors, as well as its upcoming RDNA3 based GPUs. AMD is expected to be reaching a production volume of some 20,000 wafers in the fourth quarter of 2022, although there's no mention of what's expected in 2023. Considering most of AMD's products for the next year or two will all be based on TSMC's 5 nm node, this shouldn't come as a huge surprise though, as AMD has a wide range of new CPU and GPU products coming.

TSMC Forecasts 30 Percent Increase in Sales for 2022

In 2021 TSMC saw an increase in sales of 24.9 percent in monetary value, but for 2022, the company is expecting this figure to reach somewhere around the 30 percent mark. For this quarter alone, TSMC is expecting a revenue of somewhere between US$17.6 to US$18.2 billion, with a gross margin ending up as high as 58 percent. Despite the positive outlook, TSMC hasn't been doing well on the Taiwanese stock exchange this year, as the company has lost more than a tenth of its value in 2022.

That said, TSMC is pressing forward and will still be spending in excess of US$40 billion in 2023 to expand its production capacity, following the US$40 to US$44 billion it will invest this year. The company isn't overly concerned about inflation at this point in time either, saying it doesn't have a direct impact on the semiconductor industry. TSMC is seeing a slowdown in the consumer chip space, but it's seeing an uptick in business when it comes to EV related ICs. TSMC's production lines are at full utilisation for at least the rest of 2022, but most likely long into 2023.

Micron Moving to EUV Lithography in Taiwan

Although Micron is a predominantly US company, it also has some fabs in Japan, Singapore, the PRC and Taiwan, many of which became part of Micron after it bought other companies. Based on Micron's Computex presentation, it's getting ready to upgrade one of its three fabs in Taichung with extreme ultraviolet (EUV) lithography technology later this year. This is in preparation for the company to move to what it calls its 1-gamma process node for DRAM. Initially this seems to be a R&D node to help the company prepare for a wider rollout of EUV technology. Micron's current DRAM is based on its 1-alpha node and it's planning to move its 1-beta node into volume production next year, in its Taiwan fabs.

Micron's current 1-alpha node is based on DUV technology and was introduced last year, with the company claiming it had a 40 percent improvement in memory density over its previous 1Z node. Micron no longer mentions its die size in the commonly used nanometer measurement, but its 1Z node is said to have been around 11 to 13 nm, so it's likely that the 1-beta node will end up below 10 nm, if its 1-alpha node isn't already below 10 nm. Micron's longer term roadmap also includes a 1-delta node, which was meant to be its first EUV product, but this now seems to have been moved forward to its 1-gamma node. It's likely that Micron will be moving its other fabs to EUV in due time as well, but DRAM has so far not benefitted as much from node shrinks compared to most other types of integrated circuits, so it'll be interesting to see what gains EUV might bring.

TSMC Said to be Eyeing Singapore for Fab Expansion

The rumour mill never seems to stop churning when it comes to TSMC and now the company is said to be looking at the tiny nation of Singapore for a future fab. This time the information comes via the Wall Street Journal rather than the usual Taiwanese sources and although the publication points out that no decision has been made at this point in time, it says that TSMC is apparently in talks with the Economic Development Board of Singapore. The official statement from the TSMC on the matter is that the company "doesn't rule out any possibility but does not have any concrete plan at this time".

The potential Singapore Fab would be producing 28 to 7 nm chips, in other words, quite far from TSMC's cutting edge nodes. However, TSMC is already building a similar facility in the southern city of Kaohsiung in Taiwan that's scheduled for opening in 2024. As such, the nodes used in a future facility in Singapore might change depending on when the fab will open and it might end up producing chips on more advanced nodes as well. As these fabs take a few years to get going, they're not projects that are started on a whim. We should also mention that TSMC already has a joint venture in Singapore together with NXP, called SSMC, which also produces for third parties.

MaxLinear to Acquire Silicon Motion, a major SSD controller manufacturer

MaxLinear, Inc. a leading provider of radio frequency (RF), analog and mixed-signal integrated circuits for broadband, connectivity, and infrastructure markets, and Silicon Motion, a global leader in NAND flash controllers for solid state storage devices, announced today that they have entered into a definitive agreement under which MaxLinear will acquire Silicon Motion in a cash and stock transaction that values the combined company at $8 billion in enterprise value. In the merger, each American Depositary Share (ADS) of Silicon Motion, which represents four ordinary shares of Silicon Motion, will receive $93.54 in cash and 0.388 shares of MaxLinear common stock, for total per ADS consideration of $114.34 (based on MaxLinear's May 4, 2022 closing price). The strategic business combination is anticipated to drive transformational scale, create a diversified technology portfolio, significantly expand the combined company's total addressable market, and create a highly profitable cash generating semiconductor leader.

Upon completion of the acquisition, the combined company will have a highly diversified technology platform with strong positions across the broadband, connectivity, infrastructure, and storage end markets. The combination of MaxLinear's RF, analog/mixed-signal, and processing capabilities with Silicon Motion's market leading NAND flash controller technology completes a total technology stack which fully captures end-to-end platform functionality and accelerates the company's expansion into enterprise, consumer, and many other adjacent growth markets. Combined revenues are expected to be more than $2 billion annually and are supported by the technology breadth to address a total market opportunity of roughly $15 billion.

AMD Chair & CEO Dr. Lisa Su to Keynote at COMPUTEX 2022 on the AMD High-Performance Computing Experience

AITRA (Taiwan External Trade and Development Council) announced today that Dr. Lisa Su, Chair and CEO of AMD, is invited back to be the first speaker of the COMPUTEX 2022 CEO Keynote series. This digital keynote will take place on Monday, May 23, at 2:00 PM (UTC+8), with the keynote theme "AMD Advancing the High-Performance Computing Experience". Dr. Lisa Su is delighted and honored to join COMPUTEX again, marking her third occasion delivering a CEO Keynote at the pre-eminent global conference. "High-performance computing plays such an essential role in our daily lives, and AMD is committed to always pushing the envelope on performance and innovation. At this year's COMPUTEX, AMD will share how we accelerate innovation with our broad ecosystem of partners," said Dr. Lisa Su.

AMD is the high-performance and adaptive computing leader with the industry's strongest portfolio of leadership computing, graphics, FPGAs and adaptive SoC products. At the CEO Keynote, Dr. Lisa Su will share the AMD vision to advance the PC experience through next generation mobile and desktop PC innovations. Combining cutting-edge CPUs, GPUs and software, AMD and its ecosystem partners will show breakthrough performance and leadership experiences for gamers, enthusiasts and creators. COMPUTEX 2022 will be grandly held at the Taipei Nangang Exhibition Center, Hall 1 from May 24 to May 27, 2022. In addition, TAITRA will simultaneously hold an online exhibition called COMPUTEX DigitalGo (May 24 to June 6). Meanwhile, Taiwan External Trade Development Council will organize COMPUTEX CEO Keynotes & Forum, where CEOs and senior executives from global tech giants share their insights.

Localization of Chip Manufacturing Rising; Taiwan to Control 48% of Global Foundry Capacity in 2022, Says TrendForce

According to TrendForce, Taiwan is crucial to the global semiconductor supply chain, accounting for a 26% market share of semiconductor revenue in 2021, ranking second in the world. Its IC design and packaging & testing industries also account for a 27% and 20% global market share, ranking second and first in the world, respectively. Firmly in the pole position, Taiwan accounts for 64% of the foundry market. In addition to TSMC possessing the most advanced process technology at this stage, foundries including UMC, Vanguard, and PSMC also have their own process advantages. Under the looming shadow of chip shortages caused by the pandemic and geopolitical turmoil in the past two years, various governments have quickly awakened to the fact that localization of chip manufacturing is necessary to avoid being cut off from chip acquisition due to logistics difficulties or cross-border shipment bans. Taiwanese companies have ridden this wave to become partners that governments around the world are eager to invite to set up factories in various locales.
Return to Keyword Browsing
May 21st, 2024 18:53 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts