News Posts matching #EUV

Return to Keyword Browsing

TSMC to be the Sole Supplier of Apple A13 SoCs in 2019

Even as AMD plans to dedicate 7 nm CPU and GPU manufacturing entirely to TSMC, reports are emerging that Apple could make the Taiwanese silicon fabrication giant the sole supplier of its 7 nm A13 SoC, which powers the next generation iPhone and iPad devices. Manufacturing of the A13 could commence in 2019 to keep pace with Apple's roadmaps. TSMC is currently the most strongly placed semiconductor foundry for 7 nm EUV manufacturing, after GlobalFoundries crashed out, and Samsung is trailing behind with a contract to manufacture only a portion of Qualcomm's next-generation Snapdragon SoCs.

TSMC Increases Industry Foothold With 11.6% Increase in Revenue for Q3 2018

TSMC has quickly (over a span of years, but still) become the de-facto silicon manufacturing giant in the industry. They produce silicon-based solutions for almost all the significant tech companies (NVIDIA, AMD, Apple, Qualcomm, including the silicon manufacturing leader of yonder, Intel), and are on the forefront of new fabrication technologies. Just today we've covered how they are already well on their way to their second-gen 7 nm (N7+) fabrication technology with usage of EUV, and carving their path forward for 5 nm (N5).

TSMC 7 nm Second-Generation EUV Chips Taped Out, 5 nm Risk Production in April 2019

TSMC, the world's biggest contract semiconductor manufacturer, who is at the forefront of 7 nanometer production has just announced that they are making good progress with their second generation of 7 nm technology "N7+", using EUV (Extreme Ultraviolet Lithography). A first design for N7+ from an unnamed customer has been taped out. The company's first-gen 7 nm production is running well already, with final products, like Apple iPhone already in the hands of customers.

While not fully EUV yet, the N7+ process will see limited EUV usage, for up to four non-critical layers, which gives the company an opportunity to figure out how to make best use of the new technology, how to ramp up for mass production and how to fix the little quirks that show up as soon as you move from the lab to the factory.

Analyst Firm Susquehanna: "Intel Lost its Manufacturing Leadership"

Intel was once the shining star in the semiconductor manufacturing industry, with a perfectly integrated, vertical product design and manufacturing scheme. Intel was one of the few companies in the world to be able to both develop its architectures and gear their manufacturing facilities to their design characteristics, ensuring a perfect marriage of design and manufacturing. However, not all is rosy on that field, as we've seen; AMD itself also was a fully integrated company, but decided to spin-off its manufacturing arm so as to survive - thus creating GLOBALFOUNDRIES.But Intel was seen as many as the leader in semiconductor manufacturing, always at the cutting edge of - well - Moore's Law, named after Intel's founding father Gordon Moore. Now, Mehdi Hosseini, an analyst with Susquehanna, has gone on to say that the blue giant has effectively lost its semiconductor leadership. And it has, in a way, even if its 10 nm (which is in development hell, so to speak) is technically more advanced than some 7 nm implementations waiting to be delivered to market by its competitors. However, there's one area where Intel will stop being able to claim leadership: manufacturing techniques involving EUV (Extreme UltraViolet).

Challenges With 7 nm, 5 nm EUV Technologies Could Lead to Delays In Process' TTM

Semiconductor manufacturers have been historically bullish when it comes to the introduction of new manufacturing technologies. Intel, AMD (and then Globalfoundries), TSMC, all are companies who thrive in investors' confidence: they want to paint the prettiest picture they can in terms of advancements and research leadership, because that's what attracts investment, and increased share value, and thus, increased funds to actually reach those optimistic goals.

However, we've seen in recent years how mighty Intel itself has fallen prey to unforeseen complications when it comes to advancements of its manufacturing processes, which saw us go from a "tick-tock" cadence of new architecture - new manufacturing process, to the introduction of 14 nm ++ processes. And as Intel, Globalfoundries and TSMC race towards sub 7-nm manufacturing processes with 250 mm wafers and EUV usage, things aren't getting as rosy as the ultraviolet moniker would make us believe.

Samsung Electronics Breaks Ground on a New EUV Line

Samsung Electronics Co., Ltd., a world leader in advanced semiconductor technology, today broke ground on a new EUV (extreme ultraviolet) line in Hwaseong, Korea, aiming to maintain its leadership in state-of-the-art semiconductor technology. With this new EUV line, Samsung will be able to strengthen its leadership in the single nanometer process technology by responding to market demand from various applications, including mobile, server, network, and HPC (high performance computing), where high performance and power efficiency are critical.

The new facility is expected to be completed within the second half of 2019 and start production ramp-up in 2020. The initial investment in this new EUV line is projected to reach US$ 6 billion by 2020 and additional investment will be determined depending on market circumstances. Samsung has decided to utilize cutting-edge EUV technology starting with its 7-nanometer (nm) LPP (Low Power Plus) process. This new line will be set up with EUV lithography equipment to overcome nano-level technology limitations. Samsung has continued to invest in EUV R&D to support its global customers for developing next-generation chips based on this leading-edge technology.

Qualcomm to Build Snapdragon 5G SoCs on Samsung 7nm LPP EUV Process

Samsung Electronics, a world leader in advanced semiconductor technology, and Qualcomm Technologies, Inc., a subsidiary of Qualcomm Incorporated, today announced the intention to expand their decade-long foundry relationship into EUV (extreme ultra violet) lithography process technology, including the manufacture of future Qualcomm Snapdragon 5G mobile chipsets using Samsung's 7-nanometer (nm) LPP (Low Power Plus) EUV process technology.

Using 7LPP EUV process technology, Snapdragon 5G mobile chipsets will offer a smaller chip footprint, giving OEMs more usable space inside upcoming products to support larger batteries or slimmer designs. Process improvements, combined with a more advanced chip design, are expected to bring significant improvements in battery life.

TSMC to Build World's First 3 nm Fab in Taiwan

TSMC has announced the location for their first 3 nm fab: it will be built in the Tainan Science Park, southern Taiwan. Rumors pegged the new 3 nm factory as possibly being built in the US, due to political reasons; however, TSMC opted to keep their production capabilities clustered in the Tainan Science Park, where they can better leverage their assets and supply chain for the production and support of the world's first 3 nm semiconductor factory. It certainly also helped the Taiwanese government's decision to pledge land, water, electricity and environmental protection support to facilitate TSMC's latest manufacturing plan. It's expected that at least part of the manufacturing machines will be provided by ASML, a Netherlands-based company which has enjoyed 25% revenue growth already just this year.

As part of the announcement, TSMC hasn't given any revised timelines for their 3 nm production, which likely means the company still expects to start 3 nm production by 2022. TSMC said its 7 nm yield is ahead of schedule, and that it expects a fast ramp in 2018 - which is interesting, considering the company has announced plans to insert several extreme ultraviolet (EUV) layers at 7 nm. TSMC has also said its 5 nm roadmap is on track for a launch in the first quarter of 2019.

AMD to Build "Zen 2" and "Zen 3" Processors on 7 nm Process: CTO

AMD is in no mood to stick to the 14 nm process for as long as Intel has (building four performance x86 CPU micro-architectures on it). In an interview with EE Times, AMD CTO Mark Papermaster confirmed that the company's "Zen 2" and "Zen 3" CPU micro-architectures will be built on the next-generation 7 nm silicon fab process. Transition to the 7 nm process is not as straightforward as optically shrinking your chip designs and shipping them over to your foundry. Apparently it requires big technical changes for the chip design teams, which AMD feels are better executed while it's still riding on the success of its current "Zen" architecture.

"We had to literally double our efforts across foundry and design teams…It's the toughest lift I've seen in a number of generations," said Papermaster. He added that the 7 nm node requires new "CAD tools and [changes in] the way you architect the device [and] how you connect transistors-the implementation and tools change [as well as] the IT support you need to get through it." Papermaster predicts that 7 nm will be a "long node like 28 nm" in that chip designers will have to build several refinements to their designs on the node before the newer 4 nm node could be heralded. He urged semiconductor foundry companies to introduce EUV (extreme ultra-violet lithography), a technique used to etch transistors and circuits at the infinitesimally small 7 nm node, as soon as possible, so AMD could have more options at manufacturing its next generation processors.

Demand for EUV Fabrication Systems Increasing; ASML Sees 25% Revenue Growth

Dutch company ASML may not be very known to us mortal users, but it has one of the greatest aces up its sleeve: it specializes in what are some of the most complex machines currently made by mankind. Extreme Ultraviolet Lithography Systems (EUV) are the kind of machines that make you look in wonder and amazement at man's ingenuity - ASML, which specializes in this type of systems, has a production capability for 2017 that numbers just 12 of these. That means on average, they take a whole month putting one of these together. That really goes to show the complexity inherent to these systems. And it shows: EUV machines are about the size of a city bus, and typically cost more than 100 million euros ($115.3 million) each.

The revenue growth forecast is spurred by an additional 8 EUV systems being ordered by ASML's clients, which include Intel, Samsung, and TSMC - some of the biggest players in the semiconductor business. The new orders brought the company's order backlog to 27 machines - more than double their current annual output. ASML is taking steps to to ensure an increase in production capability to keep up with the multi million-dollar demand: the company is set to expand its system production capability to 24 in 2018, before reaching an expected capacity of around 40 systems in 2019. Third-quarter revenue will be about 2.2 billion euros ($2.5 billion), the Veldhoven, Netherlands-based maker of chip-making machines predicts. The company's stock valuation has increased some 30% over the past year - the company's valuation currently stands at around €53 billion ($61 billion.)

IBM Research Alliance Builds New Transistor for 5 nm Technology

IBM, its Research Alliance partners GLOBALFOUNDRIES and Samsung, and equipment suppliers have developed an industry-first process to build silicon nanosheet transistors that will enable 5 nanometer (nm) chips. The details of the process will be presented at the 2017 Symposia on VLSI Technology and Circuits conference in Kyoto, Japan. In less than two years since developing a 7 nm test node chip with 20 billion transistors, scientists have paved the way for 30 billion switches on a fingernail-sized chip.

The resulting increase in performance will help accelerate cognitive computing, the Internet of Things (IoT), and other data-intensive applications delivered in the cloud. The power savings could also mean that the batteries in smartphones and other mobile products could last two to three times longer than today's devices, before needing to be charged.

Samsung Announces Comprehensive Process Roadmap Down to 4 nm

Samsung stands as a technology giant in the industry, with tendrils stretching out towards almost every conceivable area of consumer, prosumer, and professional markets. It is also one of the companies which can actually bring up the fight to Intel when it comes to semiconductor manufacturing, with some analysts predicting the South Korean will dethrone Intel as the top chipmaker in Q2 of this year. Samsung scales from hyper-scale data centers to the internet-of-things, and is set to lead the industry with 8nm, 7nm, 6nm, 5nm, 4nm and 18nm FD-SOI in its newest process technology roadmap. The new Samsung roadmap shows how committed the company is (and the industry with it) towards enabling the highest performance possible from the depleting potential of the silicon medium. The 4 nm "post FinFET" structure process is set to be in risk production by 2020.

This announcement also marks Samsung's reiteration on the usage of EUV (Extreme Ultra Violet) tech towards wafer manufacturing, a technology that has long been hailed as the savior of denser processes, but has been ultimately pushed out of market adoption due to its complexity. Kelvin Low, senior director of foundry marketing at Samsung, said that the "magic number" for productivity (as in, with a sustainable investment/return ratio) with EUV is 1,500 wafers per day. Samsung has already exceeded 1,000 wafers per day and has a high degree of confidence that 1,500 wafers per day is achievable.

Samsung Details New Foundry Offerings at 14nm (LPU) and 10nm (LPU)

In an announcement that's sure to stir the foundry gods, Samsung Electronics, a world leader in advanced semiconductor technology, announced today that it is expanding its advanced foundry process technology offerings with the fourth-generation 14-nanometer (nm) process (14LPU) and the third-generation 10nm process (10LPU). The announcement comes as Samsung increases investment so as to meet the requirements of next generation products, ranging from mobile and consumer electronics (Snapdragon 830 and Samsung's own Exynos 8895 come to mind) to data centers and automotives.

Ben Suh, Senior Vice President of foundry marketing at Samsung Electronics, issued the following statement: "After we announced the industry's first 10nm mass production in mid-October, we have now also expanded our lineup with new foundry offerings, 14LPU and 10LPU. Samsung is very confident with our technology definitions that provide design advantages on an aggressive process with manufacturability considerations. We have received tremendous positive market feedback and are looking forward to expanding our leadership in the advanced process technology space."
Return to Keyword Browsing
May 21st, 2024 13:44 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts