News Posts matching #Technology

Return to Keyword Browsing

Fujifilm and IBM Develop 50 TB Native Tape Storage System, Featuring World's Highest Data Storage Tape Capacity

FUJIFILM Corporation (President and CEO, Representative Director: Teiichi Goto) and IBM today announced the development of a 50 TB native tape storage system, featuring the world's highest native data tape cartridge capacity. Fujifilm has commenced production of a high-density tape cartridge for use with IBM's newest enterprise tape drive, the TS1170. The sixth-generation IBM 3592 JF tape cartridge incorporates a newly developed technology featuring fine hybrid magnetic particles to enable higher data storage capacity.

Innovations in achieving 50 TB Native Capacity
Fujifilm has succeeded in achieving this innovative cartridge capacity by evolving the technologies developed in previous tape generations. This involved enhancing both the areal recording density (the amount of data that can be recorded per square inch) and the overall recording area (the surface area capable of recording data).

PCI-SIG Exploring an Optical Interconnect to Enable Higher PCIe Technology Performance

PCI-SIG today announced the formation of a new workgroup to deliver PCI Express (PCIe) technology over optical connections. The PCI-SIG Optical Workgroup intends to be optical technology-agnostic, supporting a wide range of optical technologies, while potentially developing technology-specific form factors.

"Optical connections will be an important advancement for PCIe architecture as they will allow for higher performance, lower power consumption, extended reach and reduced latency," said Nathan Brookwood, Research Fellow at Insight 64. "Many data-demanding markets and applications such as Cloud and Quantum Computing, Hyperscale Data Centers and High-Performance Computing will benefit from PCIe architecture leveraging optical connections."

TSMC Inaugurates Global R&D Center, Celebrating Its Newest Hub for Technology Innovation

TSMC today held an inauguration ceremony for its global Research and Development Center in Hsinchu, Taiwan, celebrating the Company's newest hub for bringing the next generations of semiconductor technology into reality with customers, R&D partners in industry and academia, design ecosystem partners, and senior government leaders.

The R&D Center will serve as the new home for TSMC's R&D Organization, including the researchers who will develop TSMC's leading-edge process technology at the 2-nanometer generation and beyond, as well as scientists and scholars blazing the trail with exploratory research into fields such as novel materials and transistor structures. With R&D employees already relocating to their workplaces in the new building, it will be ready for its full complement of more than 7,000 staff by September 2023.

Silicon Motion Announces Results for the Period Ended June 30, 2023 and an Acquisition Update

Silicon Motion Technology Corporation ("Silicon Motion", the "Company" or "we") today announced its financial results for the quarter ended June 30, 2023. For the second quarter of 2023, net sales (GAAP) increased sequentially to $140.4 million from $124.1 million in the first quarter of 2023. Net income (GAAP) increased to $11.0 million, or $0.33 per diluted American Depositary Share of the Company ("ADS") (GAAP), from net income (GAAP) of $10.2 million, or $0.30 per diluted ADS (GAAP), in the first quarter of 2023.

For the second quarter of 2023, net income (non-GAAP) increased to $12.6 million, or $0.38 per diluted ADS (non-GAAP), from net income (non-GAAP) of $11.2 million, or $0.33 per diluted ADS (non-GAAP), in the first quarter of 2023.

AMD Announces Plan to Invest Approximately $400 Million Over the Next Five Years in India

AMD today announced plans for continued growth in India through an approximate $400M investment over the next five years. The planned investment includes a new AMD campus in Bangalore, Karnataka that will serve as the company's largest design center, as well as the addition of approximately 3,000 new engineering roles by the end of 2028. The new AMD campus is expected to open before the end of 2023 and will feature extensive lab space, state-of-the-art collaboration tools and seating configurations designed to foster teamwork. The investment is supported by the various policy initiatives of the Government of India focused on the semiconductor industry.

"We welcome the AMD plan to expand its leading-edge R&D engineering operations in India," said Mr. Ashwini Vaishnaw, Union Cabinet Minister for Railways, Telecommunications, Electronics and Information Technology, Government of India. "I welcome AMD's decision to set up its largest R&D design center in India and expansion of the India-AMD partnership. It will certainly play an important role in building a world class semiconductor design and innovation ecosystem. It will also provide tremendous opportunities for our large pool of highly skilled semiconductor engineers and researchers and will catalyse PM Narendra Modi's vision of India becoming a global talent hub," said Mr. Rajeev Chandrasekhar, Minister of State for Electronics and IT, Skill Development and Entrepreneurship.

Samsung Electronics Announces Second Quarter 2023 Results

Samsung Electronics today reported financial results for the second quarter ended June 30, 2023. The Company posted KRW 60.01 trillion in consolidated revenue, a 6% decline from the previous quarter, mainly due to a decline in smartphone shipments despite a slight recovery in revenue of the DS (Device Solutions) Division. Operating profit rose sequentially to KRW 0.67 trillion as the DS Division posted a narrower loss, while Samsung Display Corporation (SDC) and the Digital Appliances Business saw improved profitability.

The Memory Business saw results improve from the previous quarter as its focus on High Bandwidth Memory (HBM) and DDR5 products in anticipation of robust demand for AI applications led to higher-than-guided DRAM shipments. System semiconductors posted a decline in profit due to lower utilization rates on weak demand from major applications.

Qualcomm Snapdragon 8 Gen 2 for Galaxy Powers Samsung's Newest Flagship Device Lineup

Qualcomm Technologies, Inc. announced that its flagship Snapdragon 8 Gen 2 Mobile Platform for Galaxy is powering Samsung Electronics Co., Ltd.'s new cutting-edge foldable smartphones, the Samsung Galaxy Z Fold5 and Galaxy Z Flip5, and its latest Galaxy Tab S9 Series. Snapdragon 8 Gen 2 for Galaxy defines a new standard for connected computing, including groundbreaking AI experiences, desktop-level gaming features, professional grade photography, and more, for consumers around the world.

"Samsung is important to our mission to deliver the world's best mobile experiences to consumers. They continue to set the pace for innovation and we couldn't be more thrilled to have Snapdragon 8 Gen 2 for Galaxy power the newest flagship Galaxy device lineup—the Galaxy Z Flip5, Galaxy Z Fold5, and Galaxy Tab S9 series," said Alex Katouzian, senior vice president and general manager, mobile, compute, & XR businesses, Qualcomm Technologies, Inc.

Micron Delivers Industry's Fastest, Highest-Capacity HBM to Advance Generative AI Innovation

Micron Technology, Inc. today announced it has begun sampling the industry's first 8-high 24 GB HBM3 Gen2 memory with bandwidth greater than 1.2 TB/s and pin speed over 9.2 Gb/s, which is up to a 50% improvement over currently shipping HBM3 solutions. With a 2.5 times performance per watt improvement over previous generations, Micron's HBM3 Gen2 offering sets new records for the critical artificial intelligence (AI) data center metrics of performance, capacity and power efficiency. These Micron improvements reduce training times of large language models like GPT-4 and beyond, deliver efficient infrastructure use for AI inference and provide superior total cost of ownership (TCO).

The foundation of Micron's high-bandwidth memory (HBM) solution is Micron's industry-leading 1β (1-beta) DRAM process node, which allows a 24Gb DRAM die to be assembled into an 8-high cube within an industry-standard package dimension. Moreover, Micron's 12-high stack with 36 GB capacity will begin sampling in the first quarter of calendar 2024. Micron provides 50% more capacity for a given stack height compared to existing competitive solutions. Micron's HBM3 Gen2 performance-to-power ratio and pin speed improvements are critical for managing the extreme power demands of today's AI data centers. The improved power efficiency is possible because of Micron advancements such as doubling of the through-silicon vias (TSVs) over competitive HBM3 offerings, thermal impedance reduction through a five-time increase in metal density, and an energy-efficient data path design.

Samsung's 3 nm GAA Process Identified in a Crypto-mining ASIC Designed by China Startup MicroBT

Semiconductor industry research firm TechInsights said it has found that Samsung's 3 nm GAA (gate-all-around) process has been incorporated into the crypto miner ASIC (Whatsminer M56S++) from a Chinese manufacturer, MicroBT. In a Disruptive Technology Event Brief exclusively provided to DIGITIMES Asia, TechInsights points out that the significance of this development lies in the commercial utilization of GAA technology, which facilitates the scaling of transistors to 2 nm and beyond. "This development is crucial because it has the potential to enhance performance, improve energy efficiency, keep up with Moore's Law, and enable advanced applications," said TechInsights, identifying the MicroBT ASIC chip the first commercialized product using GAA technology in the industry.

But this would also reveal that Samsung is the foundry for MicroBT, using the 3 nm GAA process. DIGITIMES Research semiconductor analyst Eric Chen pointed out that Samsung indeed has started producing chips using the 3 nm GAA process, but the capacity is still small. "Getting revenues from shipment can be defined as 'commercialization', but ASIC is a relatively simple kind of chip to produce, in terms of architecture."

Infineon Welcomes Introduction of a Voluntary U.S. IoT Security Label

Today, U.S. Deputy National Security Advisor Anne Neuberger, Chairwoman of the Federal Communications Commission (FCC) Jessica Rosenworcel, and Laurie Locascio, Director of the National Institute of Standards and Technology (NIST) unveiled the U.S. national IoT security label at the White House.

Infineon Technologies AG supports this action to address the growing need for IoT security. The new label supports the IoT security requirements under NISTIR 8425, which resulted from an Executive Order to improve the nation's cybersecurity. This label will recognize products that meet these requirements by permitting them to display a U.S. government label and be listed in a registry indicating that these products meet U.S. cybersecurity standards.

Audeze and Microsoft Collaborate for Limited Edition Maxwell Headset

Audeze, the leading premium headphone manufacturer, today announced the release of a limited edition gaming headset developed in partnership with Microsoft, for their Designed for Xbox Summer product collection. This stylish, limited edition "Ultraviolet" version of their highly lauded Maxwell gaming headset features a new finish that adds a head-turning and unique look to one of the best gaming headsets on the market. The Ultraviolet edition of the Maxwell headphone is available on the Audeze website for pre-order in limited quantities.

The partnership between Audeze and Microsoft which began in 2021 with the Microsoft certification of Audeze's Penrose gaming headset, and continued recently with Microsoft's certification of our new Maxwell gaming headset. This partnership has expanded access to Audeze's industry-leading planar magnetic headsets to Microsoft's vast audience of console users. Epic life-like audio quality as delivered by Audeze's legendary planar magnetic drivers are a powerful tool for gamers, and now with the Ultraviolet limited edition of Maxwell, they can use their sonic advantage in style.

BBCube 3D Could be the Future of Stacked DRAM

Scientists at the Tokyo Institute of Technology have developed a new type of stacked or 3D DRAM that the researchers call Bumpless Build Cube 3D or BBCube 3D, which relies on Through Silicon Vias or TSVs to connect the DRAM dies. This is a different approach to HBM which relies on micro bumps to connect the layers together and the Japanese scientists are saying that their bumpless wafer-on-wafer solution should allow not only for an easier manufacturing process, but more importantly, improved cooling, as the TSVs can channel the heat from the DRAM dies down into whatever substrate the BBCube 3D stack is finally mounted onto.

If that wasn't enough, the researchers believe that BBCube 3D will be able to deliver higher speeds than HBM courtesy of a combination of the TSVs being relatively short and "high-density signal parallelism". BBCube 3D is expected to deliver up to a 32 fold increase in bandwidth compared to DDR5 memory and a four fold increase compared to HBM2E memory, while at the same time, drawing less power. The research paper goes into a lot more details for those interested at taking a closer look at this potentially revolutionary shift in DRAM assembly. However, the question that remains unanswered is if this will end up as a real world product some time in the near future, which is all based on how manufacturable BBCube 3D memory will be.

Samsung & MediaTek Announce Industry-first 3Tx Antenna Transmission

Samsung Electronics and MediaTek completed the successful testing of 5G Standalone Uplink (UL) 2CC Carrier Aggregation (CA) with C-Band UL MIMO to reach top uplink speeds, marking a groundbreaking achievement in wireless mobile capabilities. This approach used three transmit (3Tx) antennas to improve upload experiences, ushering in an era of enhanced connectivity for consumers worldwide.

The demands on uplink performance are increasing with the rise of live streaming, multi-player gaming and video conferences. Upload speeds determine how fast your device can send data to gaming servers or transmit high-resolution videos to the cloud. As more consumers seek to document and share their experiences with the world in real-time, enhanced uplink experiences provide an opportunity to use the network to improve how they map out their route home, check player stats online and upload videos and selfies to share with friends and followers.

Team Xbox Celebrates Disability Pride Month

This July, as part of Disability Pride Month, Team Xbox proudly celebrates players, creators, and community members with disabilities. More than 400 million video game players have disabilities worldwide, and we recognize the incredible contributions the gaming and disability community has made in making Team Xbox, and the broader gaming industry, more inclusive and welcoming for everyone.

Disability Pride holds a special place in my heart, as I am not only a Program Manager on our Gaming Accessibility Team, but also a person with disabilities. Most people wouldn't think of me as having a disability at first glance. In fact, I didn't know I had disabilities until I was in my 20's when I was diagnosed as being neurodiverse. Now I know that I have had Obsessive Compulsive Disorder and Sensory Processing Disorder since I was a young child. And, as the years have gone by, I've acquired new disabilities due to illness, injury, and trauma. Chronic pain is now part of my life, as is hearing loss, and anxiety and depression related to complex post-traumatic stress disorder.

Micron Technology, Inc. Reports Results for the Third Quarter of Fiscal 2023. Losing over $15 Million Each Day

Micron Technology, Inc. (Nasdaq: MU) today announced results for its third quarter of fiscal 2023, which ended June 1, 2023.

"Micron delivered fiscal third quarter revenue, gross margin, and EPS all above the midpoint of the guidance range," said Micron Technology President and CEO Sanjay Mehrotra. "We believe that the memory industry has passed its trough in revenue, and we expect margins to improve as industry supply-demand balance is gradually restored. The recent Cyberspace Administration of China ("CAC") decision is a significant headwind that is impacting our outlook and slowing our recovery. Longer-term, Micron's technology leadership, product portfolio, and operational excellence continues to strengthen our competitive positioning across diverse growth markets, including AI and memory-centric computing."

Metalenz Launches Its Metasurface Optics on the Open Market in Partnership With UMC

Metalenz, the world leader in metasurface optics, today announced it has partnered with leading semiconductor foundry United Microelectronics Corporation ("UMC") to release its direct supply chain to mass production and bring the unrivaled scale and precision of semiconductor manufacturing to the optics industry. The announcement marks the launch of metasurface optics on the open market for the first time and follows multiple design wins for Metalenz with leading OEMs in Asia.

"After initially designing meta-optics in partnership with one of the leading suppliers of 3D sensing solutions, we are now engaged with OEMs directly to bring the benefits of metasurface optics to their 3D sensing applications. By partnering with a world-class foundry like UMC, we gain the manufacturing capabilities, expertise, and global reach to serve customers interested in adopting our meta-optics technology," said Rob Devlin, Co-founder and CEO of Metalenz. "This will further accelerate our growth as we are becoming the leading provider of precision optics for 3D sensing solutions."

IBM Study Finds That CEOs are Embracing Generative AI

A new global study by the IBM Institute for Business Value found that nearly half of CEOs surveyed identify productivity as their highest business priority—up from sixth place in 2022. They recognize technology modernization is key to achieving their productivity goals, ranking it as second highest priority. Yet, CEOs can face key barriers as they race to modernize and adopt new technologies like generative AI.

The annual CEO study, CEO decision-making in the age of AI, Act with intention, found three-quarters of CEO respondents believe that competitive advantage will depend on who has the most advanced generative AI. However, executives are also weighing potential risks or barriers of the technology such as bias, ethics and security. More than half (57%) of CEOs surveyed are concerned about data security and 48% worry about bias or data accuracy.

U.S. Administration Outlines Plan to Strengthen Semiconductor Supply Chains

Today, the U.S. Department of Commerce shared the Biden-Harris Administration's strategic vision to strengthen the semiconductor supply chain through CHIPS for America investments. To advance this vision, the Department announced a funding opportunity and application process for large semiconductor supply chain projects and will release later in the fall a separate process for smaller projects. Large semiconductor supply chain projects include materials and manufacturing equipment facility projects with capital investments equal to or exceeding $300 million, and smaller projects are below that threshold.

The announcement leads into the Biden-Harris Administration's Investing in America tour, where Secretary Raimondo and leaders in the Administration will fan across more than 20 states to highlight investments, jobs, and economic opportunity driven by President Biden's Investing in America agenda and the historic legislation he's passed in his first two years in office, including the bipartisan CHIPS and Science Act.

Swave Photonics Secures €10M Seed Funding Round to Develop Holographic Augmented Reality

Swave Photonics, the forerunner in the implementation of true holography for augmented reality, today announced the successful closure of its seed round expansion. This additional investment of €3M catapults Swave's total funds raised to €10M.

Reinvestment from present investors imec.xpand, a venture capital fund centered around nanotechnology innovation, Flanders Future Techfund (FFTF), a Belgian/Flemish public investment fund, and QBIC, a Belgian inter-university venture capital fund, underscores investor trust in Swave's technology. Joining these investors are new US-based investors Acequia Capital, a leading venture investment firm based in Seattle, WA, and Luminate NY, the world's only optics, photonics, and imaging accelerator, which is based in Rochester, NY. Their participation, even amidst a challenging fundraising landscape, amplifies the significance and potential of Swave's groundbreaking holographic and photonics solutions. This seed round positions Swave well to execute on its augmented reality roadmap and engage future customers, partners and investors interested in driving true holography for spatial computing.

ITRI Set to Strengthen Taiwan-UK Collaboration on Semiconductors

The newly established Department for Science, Innovation and Technology (DSIT) in the UK has recently released the UK's National Semiconductor Strategy. Dr. Shih-Chieh Chang, General Director of Electronic and Optoelectronic System Research Laboratories at the Industrial Technology Research Institute (ITRI) of Taiwan had an initial exchange with DSIT. During the exchange, Dr. Chang suggested that Taiwan can become a trustable partner for the UK and that the partnership can leverage collective strengths to create mutually beneficial developments. According to the Strategy, the British government plans to invest 1 billion pounds over the next decade to support the semiconductor industry. This funding will improve access to infrastructure, power more research and development and facilitate greater international cooperation.

Dr. Chang stressed that ITRI looks forward to more collaboration with the UK on semiconductors to enhance the resilience of the supply chain. While the UK possesses cutting-edge capabilities in semiconductor IP design and compound semiconductor technology, ITRI has extensive expertise in semiconductor technology R&D and trial production. As a result, ITRI is well-positioned to offer consultation services for advanced packaging pilot lines, facilitate pre-production evaluation, and link British semiconductor IP design companies with Taiwan's semiconductor industry chain. "The expansion of British manufacturers' service capacity in Taiwan would create a mutually beneficial outcome for both Taiwan and the UK," said Dr. Chang.

US Patent Office Sides with Intel in the $2.2 Billion VLSI Case

The U.S. Patent Office tribunal has ruled in favor of Intel Corp in a significant $2.2 billion case against VLSI Technology LLC. Intel's bid to nullify a patent that constituted $1.5 billion of a $2.18 billion verdict it previously lost to VLSI in 2021 was accepted. The Patent Trial and Appeal Board invalidated the computer chip-related patent and another VLSI patent, accounting for the rest of the Texas federal court verdict. An Intel spokesperson expressed their satisfaction with the decision, criticizing the invalidated VLSI patents as "low-quality."

VLSI, the company holding the patent that has filed several infringement lawsuits against Intel, retains the option to appeal both decisions to the U.S. Court of Appeals for the Federal Circuit. In a separate case last year, VLSI secured a verdict worth $949 million against Intel in Texas. VLSI is a subsidiary of Fortress Investment Group, which is managed by investment funds from SoftBank Group. The patent board proceeding was initiated by South Dakota-based Patent Quality Assurance LLC, while another patent from the $2.18 billion verdict was contested by OpenSky Industries LLC. Despite initial sanctions for attempting to extort both Intel and VLSI, OpenSky was permitted to continue the proceeding with Intel at the helm.

Apple Announces Apple Vision Pro AR Spatial Computer

Apple today unveiled Apple Vision Pro, a revolutionary spatial computer that seamlessly blends digital content with the physical world, while allowing users to stay present and connected to others. Vision Pro creates an infinite canvas for apps that scales beyond the boundaries of a traditional display and introduces a fully three-dimensional user interface controlled by the most natural and intuitive inputs possible—a user's eyes, hands, and voice. Featuring visionOS, the world's first spatial operating system, Vision Pro lets users interact with digital content in a way that feels like it is physically present in their space. The breakthrough design of Vision Pro features an ultra-high-resolution display system that packs 23 million pixels across two displays, and custom Apple silicon in a unique dual-chip design to ensure every experience feels like it's taking place in front of the user's eyes in real time.

"Today marks the beginning of a new era for computing," said Tim Cook, Apple's CEO. "Just as the Mac introduced us to personal computing, and iPhone introduced us to mobile computing, Apple Vision Pro introduces us to spatial computing. Built upon decades of Apple innovation, Vision Pro is years ahead and unlike anything created before—with a revolutionary new input system and thousands of groundbreaking innovations. It unlocks incredible experiences for our users and exciting new opportunities for our developers."

"Creating our first spatial computer required invention across nearly every facet of the system," said Mike Rockwell, Apple's vice president of the Technology Development Group. "Through a tight integration of hardware and software, we designed a standalone spatial computer in a compact wearable form factor that is the most advanced personal electronics device ever."

Neo Forza Demonstrates DDR5 Memory Running at 8000 MHz and PCIe Gen 5 SSD at Computex 2023

Neo Forza, a Taiwanese brand entity under Goldkey Technology Corporation, had a booth at Computex 2023, where the company showed its next-generation memory and storage products. Starting off, the company presented its DDR5 memory kits running at 8000 MHz speeds, indicating the maturity of DDR5 and that we are way past the initial 4800 MHz speeds at launch. The demonstrated kit was a part of the company's TRINITY lineup, which was displayed in white. For demo purposes, the kit was configured as two 16 GB DIMMs.

Next up, Neo Forza has showcased its next-generation PCIe Gen 5 NVMe SSD. Running at x4 lanes of the new PCIe 5.0 protocol, the 2 TB SSD was spotted running at 10 GB/s speeds in both read and write tasks set by CrystalDiskMark benchmark. Interestingly, the SSD also boasted a massive heatsink, as shown below.

TSMC N3 Nodes Show SRAM Scaling is Hitting the Wall

When TSMC introduced its N3 lineup of nodes, the company only talked about the logic scaling of the two new semiconductor manufacturing steps. However, it turns out that there was a reason for it, as WikiChip confirms that the SRAM bit cells of N3 nodes are almost identical to the SRAM bit cells of N5 nodes. At TSMC 2023 Technology Symposium, TSMC presented additional details about its N3 node lineup, including logic and SRAM density. For starters, the N3 node is TSMC's "3 nm" node family that has two products: a Base N3 node (N3B) and an Enhanced N3 node (N3E). The base N3B uses a new (for TSMC) self-aligned contact (SAC) scheme that Intel introduced back in 2011 with a 22 nm node, which improves the node's yield.

Regardless of N3's logic density improvements compared to the "last-generation" N5, the SRAM density is almost identical. Initially, TSMC claimed N3B SRAM density was 1.2x over the N5 process. However, recent information shows that the actual SRAM density is merely a 5% difference. With SRAM taking a large portion of the transistor and area budget of a processor, N3B's soaring manufacturing costs are harder to justify when there is almost no area improvement. For some time, SRAM scaling wasn't following logic scaling; however, the two have now completely decoupled.

TYAN Server Platforms to Boost Data Center Computing Performance with 4th Gen AMD EPYC Processors at Computex 2023

TYAN, an industry-leading server platform design manufacturer and a subsidiary of MiTAC Computing Technology Corporation, will be showcasing its latest HPC, cloud and storage platforms at Computex 2023, Booth #M0701a in Taipei, Taiwan from May 30 to June 2. These platforms are powered by AMD EPYC 9004 Series processors, which offer superior energy efficiency and are designed to enhance data center computing performance.

"As businesses increasingly prioritize sustainability in their operations, data centers - which serve as the computational core of an organization - offer a significant opportunity to improve efficiency and support ambitious sustainability targets," said Eric Kuo, Vice President of the Server Infrastructure Business Unit at MiTAC Computing Technology Corporation. "TYAN's server platforms powered by 4th Gen AMD EPYC processor enable IT organizations to achieve high performance while remaining cost-effective and contributing to environmental sustainability."
Return to Keyword Browsing
May 21st, 2024 20:33 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts