News Posts matching #Technology

Return to Keyword Browsing

Jensen Huang's 2024 Prediction: "Every Industry Will Become a Technology Industry"

"This year, every industry will become a technology industry," NVIDIA founder and CEO Jensen Huang told attendees last Wednesday during the annual J.P. Morgan Healthcare Conference. "You can now recognize and learn the language of almost anything with structure, and you can translate it to anything with structure—so text-protein, protein-text," Huang said in a fireside chat with Martin Chavez, partner and vice chairman of global investment firm Sixth Street Partners and board chair of Recursion, a biopharmaceutical company. "This is the generative AI revolution."

The conversation, which took place at the historic San Francisco Mint, followed a presentation at the J.P. Morgan conference Monday by Kimberly Powell, NVIDIA's VP of healthcare. In her talk, Powell announced that Recursion is the first hosting partner to offer a foundation model through the NVIDIA BioNeMo cloud service, which is advancing into beta this month. She also said that Amgen, one of the first companies to employ BioNeMo, plans to advance drug discovery with generative AI and NVIDIA DGX SuperPOD—and that BioNeMo is used by a growing number of techbio companies, pharmas, AI software vendors and systems integrators. Among them are Deloitte, Innophore, Insilico Medicine, OneAngstrom, Recursion and Terray Therapeutics.

Formovie Makes a Splash at CES 2024 with Theatre and Gaming Laser TVs

Formovie Technology, Chinese maker of laser TV and smart projectors, unveiled its latest innovations at CES 2024 this week. The company showcased several new projectors leveraging cutting-edge laser technology to deliver new visual experiences. The star of the Formovie lineup was the new Formovie Theatre 4K Triple-Laser UST Projector. As the world's first 4K ultra-short throw projector with triple laser technology, it produces a staggeringly bright 2800 ANSI lumens image with 3000:1 contrast ration at a spectacular 150-inch scale. Viewers can expect true-to-life colors and infinite contrast thanks to Formovie's advanced laser light source. The company touts the product as the world's 1st Dolby Vision & Atmos UST projector, which also features ALLM and MEMC for smoother gaming. The sound system is a bespoke work by Bowers & Wilkins. A few booth demos were available to paint the picture of the technology.

In addition, Formovie introduced the slim and portable Formovie S5 projector. Weighing only 6.5 pounds, it's an ultra-compact model ideal for on-the-go use. The S5 still outputs a bright 1100 ANSI lumens image with smooth 4K quality enabled by ALPD laser technology. Rounding out the product showcase, Formovie displayed the award-winning Formovie V10 home theater projector. Recently honored with a CES 2023 Innovation Award, the V10 stands out for its 240 Hz refresh rate, 12 ms low latency, and 2500 ANSI lumens brightness—making it a top choice for gaming and movies.

Chinese Researchers Want to Make Wafer-Scale RISC-V Processors with up to 1,600 Cores

According to the report from a journal called Fundamental Research, researchers from the Institute of Computing Technology at the Chinese Academy of Sciences have developed a 256-core multi-chiplet processor called Zhejiang Big Chip, with plans to scale up to 1,600 cores by utilizing an entire wafer. As transistor density gains slow, alternatives like multi-chiplet architectures become crucial for continued performance growth. The Zhejiang chip combines 16 chiplets, each holding 16 RISC-V cores, interconnected via network-on-chip. This design can theoretically expand to 100 chiplets and 1,600 cores on an advanced 2.5D packaging interposer. While multi-chiplet is common today, using the whole wafer for one system would match Cerebras' breakthrough approach. Built on 22 nm process technology, the researchers cite exascale supercomputing as an ideal application for massively parallel multi-chiplet architectures.

Careful software optimization is required to balance workloads across the system hierarchy. Integrating near-memory processing and 3D stacking could further optimize efficiency. The paper explores lithography and packaging limits, proposing hierarchical chiplet systems as a flexible path to future computing scale. While yield and cooling challenges need further work, the 256-core foundation demonstrates the potential of modular designs as an alternative to monolithic integration. China's focus mirrors multiple initiatives from American giants like AMD and Intel for data center CPUs. But national semiconductor ambitions add urgency to prove domestically designed solutions can rival foreign innovation. Although performance details are unclear, the rapid progress shows promise in mastering modular chip integration. Combined with improving domestic nodes like the 7 nm one from SMIC, China could easily create a viable Exascale system in-house.

Intel Collaborates with Taiwanese OEMs to Develop Open IP Immersion Cooling Solution and Reference Design

Intel is expanding immersion cooling collaborations with Taiwanese partners to strengthen its data center offerings for AI workloads. This includes developing an industry-first open IP complete immersion cooling solution and reference design. Partners like Kenmec and Auras Technology will be key in implementing Intel's advanced cooling roadmap. Intel is also cooperating with Taiwan's Industrial Research Institute on a new lab for certifying high-performance computing cooling technologies to international standards. With local ecosystem partners, Intel aims to accelerate next-generation cooling solutions for Taiwanese and global data centers. Advanced cooling allows packing more performance into constrained data center footprints, which is critical for AI's rapid growth. Intel touts a superfluid-based modular cooling system achieving 1500 Watts+ heat dissipation for high-density deployments.

Meanwhile, Kenmec offers a range of liquid cooling products, from Coolant Distribution Units (CDU) to customized Open Rack version 3 (ORv3) water cooling cabinets, with solutions already Intel-certified. Intel wants to solidify its infrastructure leadership as AI workloads surge by fostering an open, collaborative ecosystem around optimized cooling technologies. While progressing cutting-edge immersion and liquid cooling hardware, cultivating shared validation frameworks and best practices ensures broad adoption. With AI-focused data centers demanding ever-greater density, power efficiency, and reliability, cooling can no longer be an afterthought. Intel's substantial investments in a robust cooling ecosystem highlight it as a priority right alongside silicon advances. By lifting up Taiwanese partners as strategic cooling co-innovators, Intel aims to cement future competitiveness.

Micron Technology, Inc. Reports Results for the First Quarter of Fiscal 2024

Micron Technology, Inc. (Nasdaq: MU) today announced results for its first quarter of fiscal 2024, which ended November 30, 2023.

Fiscal Q1 2024 highlights
  • Revenue of $4.73 billion versus $4.01 billion for the prior quarter and $4.09 billion for the same period last year
  • GAAP net loss of $1.23 billion, or $1.12 per diluted share
  • Non-GAAP net loss of $1.05 billion, or $0.95 per diluted share
  • Operating cash flow of $1.40 billion versus $249 million for the prior quarter and $943 million for the same period last year
"Micron's strong execution and pricing drove better-than-anticipated first quarter financial results," said Micron Technology President and CEO Sanjay Mehrotra. "We expect our business fundamentals to improve throughout 2024, with record industry TAM projected for calendar 2025. Our industry-leading High Bandwidth Memory for data center AI applications illustrates the strength of our technology and product roadmaps, and we are well positioned to capitalize on the immense opportunities artificial intelligence is fueling across end markets."

Court Overturns $2.18 Billion VLSI Patent Infringement Verdict, But Still Not Over For Intel

A U.S. appeals court has overturned a staggering $2.18 billion patent infringement verdict against Intel Corporation, initially won by VLSI Technology, marking a pivotal shift in one of the most prominent patent law cases in U.S. history. The 2021 decision by a Texas jury, which found Intel guilty of infringing on a VLSI patent, was reversed by the U.S. Court of Appeals for the Federal Circuit due to insufficient evidence. Additionally, a new trial in Texas has been ordered to determine the appropriate amount Intel owes for infringing a second patent owned by VLSI. This patent-holding company, affiliated with Fortress Investment Group and recently involved in a majority share acquisition by Abu Dhabi's Mubadala Investment Co from Japan's Softbank, has been in multiple legal confrontations with Intel over semiconductor technology patents, which VLSI acquired from NXP Semiconductors.

The legal disputes have seen varied outcomes; Intel deflected a claim for more than $3 billion in damages in a separate Waco jury trial in 2021. However, the same year, VLSI was awarded nearly $949 million from Intel in another patent case by a jury in Austin, Texas. The companies mutually agreed to dismiss another potential multi-billion-dollar lawsuit in Delaware. With Intel's stock experiencing a downturn (-6.05% in the past five days) following the latest court ruling and the scheduled 2024 trial in Northern California, the ongoing legal battles between the two tech entities continue to influence market dynamics and the semiconductor industry at large. Detaining if the patent infringement happened is still relatively complex, as VLSI needs a team of engineers to determine if Intel used any of its patents.

YMTC Develops 128 and 232-Layer Xtacking 4.0 NAND Memory Chips

Chinese memory maker Yangtze Memory Technology Corp (YMTC) is allegedly preparing its next-generation Xtacking 4.0 3D NAND flash architecture for next-generation memory chips. According to the documentation obtained by Tom's Hardware, YMTC has developed two SKUs based on the upgraded Xtacking 4.0: X4-9060, a 128-layer three-bit-per-cell (TLC) 3D NAND, and the X4-9070, a 232-layer TLC 3D NAND. By using string stacking on both of these SKUs, YMTC plans to make the 3D NAND work by incorporating arrays with 64 and 116 active layers stacked on top of each other. This way, the export regulation rules from the US government are met, and the company can use the tools that are not under the sanction list.

While YMTC has yet to fully disclose the specific advantages of the Xtacking 4.0 technology, the industry anticipates significant enhancements in data transfer speeds and storage density. These improvements are expected to stem from increased plane counts for optimized parallel processing, refined bit/word line configurations to minimize latency, and the development of modified chip variants to boost production yields. When YMTC announced Xtacking 3.0, the company offered 128-layer TLC and 232-layer four-bit-per-cell (QLC) variants and was the first company to achieve 200+ layer count in the 3D NAND space. The Xtacking 3.0 architecture incorporates string stacking and hybrid bonding techniques and uses a mature process node for the chip's CMOS underlayer. We have to wait for the final Xtacking 4.0 details when YMTC's officially launches the SKUs.

Semiconductor Market to Grow 20.2% in 2024 to $633 Billion, According to IDC

International Data Corporation (IDC) has upgraded its Semiconductor Market Outlook by calling a bottom and return to growth that accelerates next year. IDC raised its September 2023 revenue outlook from $518.8 billion to $526.5 billion in a new forecast. Revenue expectations for 2024 were also raised from $625.9 billion to $632.8 billion as IDC believes the U.S. market will remain resilient from a demand standpoint and China will begin recovering by the second half of 2024 (2H24).

IDC sees better semiconductor growth visibility as the long inventory correction subsides in two of the largest market segments: PCs and smartphones. Automotive and Industrials elevated inventory levels are expected to return to normal levels in 2H24 as electrification continues to drive semiconductor content over the next decade. Technology and large flagship product introductions will drive more semiconductor content and value across market segments in 2024 through 2026, including the introduction of AI PCs and AI Smartphones next year and a much-needed improvement in memory ASPs and DRAM bit volume.

AMD EPYC CPUs Affected by CacheWarp Vulnerability, Patches are Already Available

Researchers at Graz University of Technology and the Helmholtz Center for Information Security have released their paper on CacheWarp—the latest vulnerability affecting some of the prior generation AMD EPYC CPUs. Titled CVE-2023-20592, the exploit targets first-generation EPYC Naples, second-generation EPYC Rome, and third-generation EPYC Milan. CacheWarp operates by exploiting a vulnerability in AMD's Secure Encrypted Virtualization (SEV) technology, specifically targeting the SEV-ES (Encrypted State) and SEV-SNP (Secure Nested Paging) versions. The attack is a software-based fault injection technique that manipulates the cache memory of a virtual machine (VM) running under SEV. It cleverly forces modified cache lines of the guest VM to revert to their previous state. This action circumvents the integrity checks that SEV-SNP is designed to enforce, allowing the attacker to inject faults without being detected.

Unlike attacks that rely on specific guest VM vulnerabilities, CacheWarp is more versatile and dangerous because it does not depend on the characteristics of the targeted VM. It exploits the underlying architectural weaknesses of AMD SEV, making it a broad threat to systems relying on this technology for security. The CacheWarp attack can bypass robust security measures like encrypted virtualization, posing a significant risk to data confidentiality and integrity in secure computing environments. AMD has issued an update for EPYC Milan with a hot-loadable microcode patch and updated the firmware image without any expected performance degradation. And for the remaining generations, AMD states that no mitigation is available for the first or second generations of EPYC processor (Naples and Rome) since the SEV and SEV-ES features are not designed to protect guest VM memory integrity, and the SEV-SNP is not available.

TYAN Unveils its Robuste Immersion Cooling Solution that Delivering Significant PUE Enhancement at SC23

TYAN, an industry leader in server platform design and a subsidiary of MiTAC Computing Technology Corporation, unveils an immersion cooling solution that delivering significant PUE (Power Usage Effectiveness) enhancement and showcases its latest server platforms powered by 4th Gen. Intel Xeon Scalable Processors targeting HPC, AI and Cloud Computing applications at SC23, Booth #1917.

Significant PUE Enhancement shown in an Immersion-cooling Tank vs. Conventional Air-cooling Operation Cabinet
The immersion cooling system live demonstrated at TYAN booth during SC23 is a 4U hybrid single phase tank enclosure equipped with 4 units of TYAN GC68A-B7136 cloud computing servers. Comparing to conventional Air-cooling operating cabinet, this hybrid immersion cooling system could offer huge improvement of PUE which makes it become an ideal mission-critical solution for the users aimed in energy-saving and green products.

Intel Shuts Down its Cryo Cooling Technology Development

According to @momomo_us, Intel has discontinued its Cryo Cooling Technology as of July 1, 2023, marking the end of one of the tech industry's few sub-ambient cooling options. The technology, which could chill CPUs to 0 degrees Celsius to enhance performance, accompanied Intel's processors from the 10th-generation Comet Lake to the 13th-generation Raptor Lake. Despite its innovative approach to boosting CPU performance, the cooling solution was not widely embraced. The discontinuation comes just before the arrival of the 14th Generation Raptor Lake Refresh, which will not support the Cryo Cooling tech. Intel plans to maintain updates for the existing Cryo Cooling hardware until December 31, 2023.

This specialized cooling method did see some use in products like the Cooler Master MasterLiquid ML360 Sub-Zero and the EKWB EK-QuantumX Delta TEC waterblocks. Interestingly, the technology has managed to work even with non-Intel CPUs, which famous overclocker der8auer managed to get up and running on AMD's Ryzen 9 5950X. Some modifications were in place, but it was possible to do so. The likely reason for shutting down the cryo cooling project is the need for more financial sense to continue to pursue this technology and the effort to keep the cost of R&D down and make funds available for other projects at Intel's laboratories.

Alibaba Readies PCIe 5.0 SSD Controller Based on RISC-V ISA

Alibaba's T-Head unit, responsible for the design and development of in-house IC design, has announced the first domestic SSD controller based on the PCIe 5.0 specification standard. Called the Zhenyue 510, the SSD controller is aimed at enterprise SSD offerings. Interestingly, the Zhenyue 510 is powered by T-Head's custom Xuantie C910 cores based on RISC-V instruction set architecture (ISA). Supporting the PCIe 5.0 standard for interfacing, the SSD controller uses DDR5 memory as a cache buffer. Regarding the performance, there are no official figures yet, but the company claims to have 30% lower input/output latencies compared to competing offerings. T-Head claims the SSD has an IO processing capability of "3400 Kilo IOs per second, a data bandwidth of 14 Gbytes/s, and an extremely high energy efficiency of 420 Kilo IO per second for every Watt".

This is an essential step towards Chinese self-sufficiency as T-Head has designed various ICs for processing different tasks. Still, now Alibaba's chip design unit has a domestic design for storage as well. Claiming low latency figures, the Zhenyue 510 is suitable for enterprise workloads like big data analysis, as well as AI inference/training systems workloads. The development of Zhenyue 510 started in 1H 2021, and it took the company more than two years to complete the design and validation of the chip to prepare it for deployment. This is the second Chinese-made SSD controller after Yingren Technology (InnoGrit) announced their chip in September.

Boox Outlines their Kaleido Third Gen ePaper Technology

The colors of the illustrations look serene and dreamy on paper, leading us into a realm of fantasy. Such experience can hardly be replicated on LED screens. However, thanks to the advances in ePaper technology, it's now possible for us to relive such wistful reverie in a digital way. And what makes it happen is Kaleido 3.

What is Kaleido 3?
Kaleido 3 is E Ink's latest generation of print color display technology for ePaper products. Compared with conventional black-and-white E INK displays, Kaleido uses an RGB Color Filter Array (CFA) to present colors on the screen. With a color filter on top, red, green, and blue can be mixed or with black and white to create a total of 4,096 colors.

Samsung Electronics Holds Memory Tech Day 2023 Unveiling New Innovations To Lead the Hyperscale AI Era

Samsung Electronics Co., Ltd., a world leader in advanced memory technology, today held its annual Memory Tech Day, showcasing industry-first innovations and new memory products to accelerate technological advancements across future applications—including the cloud, edge devices and automotive vehicles.

Attended by about 600 customers, partners and industry experts, the event served as a platform for Samsung executives to expand on the company's vision for "Memory Reimagined," covering long-term plans to continue its memory technology leadership, outlook on market trends and sustainability goals. The company also presented new product innovations such as the HBM3E Shinebolt, LPDDR5X CAMM2 and Detachable AutoSSD.

Moore Threads Prepares S90 and S4000 GPUs for Gaming and Data Center

Moore Threads Technology (MTT), a Chinese GPU manufacturer, is reportedly testing its next-generation graphics processors for client PCs and data centers. The products under scrutiny are the MTT S90 for client/gaming computers and the MTT S4000 for data centers. Characterized by their Device IDs, 0301 and 0323, this could imply that these GPUs belong to MTT's 3rd generation GPU lineup. While few details about these GPUs are available, the new Device IDs suggest a possible introduction of a novel microarchitecture following the MTT Chunxiao GPU series. The current generation Chunxiao series, featuring the MTT S70, MTT S80, and MTT S3000, failed to compete effectively with AMD, Intel, and NVIDIA GPUs.

Thanks to @Löschzwerg who found the Device Hunt submission, we see hardware identifiers in PCI ID and USB ID repositories earlier than launch, as this often signals the testing of new chips or drivers by various companies. In the case of MTT, the latest developments are complicated by its recent inclusion on the U.S. Entity List, limiting its access to US-made technologies. This introduces a problem for the company, as they can't access TSMC's facilities for chip production, and will have to turn to domestic production in the likely case, with SMIC being the only leading option to consider.

AMD, Arm, Intel, Meta, Microsoft, NVIDIA, and Qualcomm Standardize Next-Generation Narrow Precision Data Formats for AI

Realizing the full potential of next-generation deep learning requires highly efficient AI infrastructure. For a computing platform to be scalable and cost efficient, optimizing every layer of the AI stack, from algorithms to hardware, is essential. Advances in narrow-precision AI data formats and associated optimized algorithms have been pivotal to this journey, allowing the industry to transition from traditional 32-bit floating point precision to presently only 8 bits of precision (i.e. OCP FP8).

Narrower formats allow silicon to execute more efficient AI calculations per clock cycle, which accelerates model training and inference times. AI models take up less space, which means they require fewer data fetches from memory, and can run with better performance and efficiency. Additionally, fewer bit transfers reduces data movement over the interconnect, which can enhance application performance or cut network costs.

LITEON Launches Revolutionary Liquid Cooling Solutions Through its COOLITE Brand

LITEON Technology, the global leading provider of power and cooling solutions, is set to unveil its groundbreaking liquid cooling solutions featuring immersion technology through its new brand, COOLITE. This will be the very first time that LITEON showcases its COOLITE solutions, along with state-of-the-art power solutions at the OCP Global Summit. These pioneering solutions are poised to transform data centers by significantly enhancing efficiency, performance, and sustainability.

LITEON COOLITE Liquid Cooling Solutions Bring a Paradigm Shift in Data Center Cooling
LITEON's COOLITE Liquid Cooling Solutions, with immersion technology, bring a paradigm shift in data center cooling. By immersing servers and components in a specialized cooling fluid, these solutions eliminate the constraints of traditional air-based cooling. This results in significantly improved thermal efficiency, enabling data centers to handle high-performance workloads with ease. Furthermore, the reduced energy consumption and carbon footprint contribute to the sustainability goals of data centers.

Micron Delivers High-Speed 7,200 MT/s DDR5 Memory Using 1β Technology

Micron Technology, Inc., today announced it has extended its industry-leading 1β (1-beta) process node technology with the introduction of 16Gb DDR5 memory. With demonstrated in-system functionality at speeds up to 7,200 MT/s, Micron's 1β DDR5 DRAM is now shipping to all data center and PC customers. Micron's 1β-based DDR5 memory with advanced high-k CMOS device technology, 4-phase clocking and clock-sync provides up to a 50% performance uplift and 33% improvement in performance per watt over the previous generation.

As CPU core counts increase to meet the demands of data center workloads, the need for higher memory bandwidth and capacities grows significantly to overcome the 'memory wall' challenge while optimizing the total cost of ownership for customers. Micron's 1β DDR5 DRAM allows computational capabilities to scale with higher performance enabling applications like artificial intelligence (AI) training and inference, generative AI, data analytics, and in-memory databases (IMDB) across data center and client platforms. The new 1β DDR5 DRAM product line offers current module densities in speeds ranging from 4,800 MT/s up to 7,200 MT/s for use in data center and client applications.

Avicena Demonstrates First microLED Based Transceiver IC in 16 nm finFET CMOS for Chip-to-Chip Communications

Avicena, a privately held company headquartered in Sunnyvale, CA, is demonstrating its LightBundle multi-Tbps chip-to-chip interconnect technology at the European Conference for Optical Communications (ECOC) 2023 in Glasgow, Scotland (https://www.ecocexhibition.com/). Avicena's microLED-based LightBundle architecture breaks new ground by unlocking the performance of processors, memory and sensors, removing key bandwidth and proximity constraints while simultaneously offering class leading energy efficiency.

"As generative AI continues to evolve, the role of high bandwidth-density, low-power and low latency interconnects between xPUs and HBM modules cannot be overstated", says Chris Pfistner, VP Sales & Marketing of Avicena. "Avicena's innovative LightBundle interconnects have the potential to fundamentally change the way processors connect to each other and to memory because their inherent parallelism is well-matched to the internal wide and slow bus architecture within ICs. With a roadmap to multi-terabit per second capacity and sub-pJ/bit efficiency these interconnects are poised to enable the next era of AI innovation, paving the way for even more capable models and a wide range of AI applications that will shape the future."

China's First PCIe 5.0 SSD Controller from InnoGrit Enters Mass Production

During the China Chip Storage Future 2023 Storage Industry Trend Summit, Yingren Technology, widely recognized as InnoGrit outside of China, announced the initiation of mass production of its enterprise-level YR S900 PCIe 5.0 SSD controller. Marking a significant breakthrough, the YR S900 stands as China's first domestic PCIe 5.0 SSD controller. Operating on an open-source RISC-V architecture, the YR S900 is engineered to align with U.S. export restrictions, ensuring a seamless design and manufacturing process of the SSD controller. While Yingren Technology remains discreet about the specific process node to produce the YR S900, it's known that the controller embodies a versatile design, with compatibility extending to mainstream NAND from eminent manufacturers, and exhibits an impressive synergy with NAND from Yangtze Memory Technologies Corp (YMTC).

The YR S900 is a quad-channel controller, offering sequential read and write speeds peaking at 14 GB/s and 12 GB/s, respectively, and is equipped with InnoGrit's third-generation ECC engine to optimize 4K LDPC encoding and decoding. This collaboration with Kioxia's XL-Flash results in a low 4K random read latency of 10us, highlighting its potential to deliver higher data throughput, increased stability, and extended service life. The YR S900 encompasses a comprehensive feature set, including FDP, SR-IOV hardware virtualization, CMB, and a range of data encryption algorithms. While the mass production of the YR S900 underscores a monumental stride in SSD solutions within China, it remains to be seen whether adopting this new Chinese technology will enter markets beyond China.

TSMC Announces Breakthrough Set to Redefine the Future of 3D IC

TSMC today announced the new 3Dblox 2.0 open standard and major achievements of its Open Innovation Platform (OIP) 3DFabric Alliance at the TSMC 2023 OIP Ecosystem Forum. The 3Dblox 2.0 features early 3D IC design capability that aims to significantly boost design efficiency, while the 3DFabric Alliance continues to drive memory, substrate, testing, manufacturing, and packaging integration. TSMC continues to push the envelope of 3D IC innovation, making its comprehensive 3D silicon stacking and advanced packaging technologies more accessible to every customer.

"As the industry shifted toward embracing 3D IC and system-level innovation, the need for industry-wide collaboration has become even more essential than it was when we launched OIP 15 years ago," said Dr. L.C. Lu, TSMC fellow and vice president of Design and Technology Platform. "As our sustained collaboration with OIP ecosystem partners continues to flourish, we're enabling customers to harness TSMC's leading process and 3DFabric technologies to reach an entirely new level of performance and power efficiency for the next-generation artificial intelligence (AI), high-performance computing (HPC), and mobile applications."

Microsoft Plans to Build Nuclear-Powered Data Centers

Data center infrastructure is a complex matter. It requires shelter, cooling, and dedicated power generators that keep the servers running at full capacity and uptime. However, as these data centers can consume MegaWatts of power, it is becoming increasingly more work for hyperscalers like Meta, Google, Amazon, Microsoft, and others to ensure proper power supply to their data centers. Today, according to the job listing by Microsoft, we learn that the Redmond giant is preparing its infrastructure for nuclear power to reduce data centers' dependency on the outside grid. According to the job listing, Microsoft is seeking a "Principal Program Manager, Nuclear Technology, who will be responsible for maturing and implementing a global Small Modular Reactor (SMR) and microreactor energy strategy."

The SMR and microreactor systems are smaller-scale than traditional nuclear reactors that many power plants are using today and are more manageable due to their sheer size. The power plants based on the aforementioned technology can reside right next to the data center. We are sure that Microsoft had calculated the return on investment (ROI) of creating its power grid, as its electricity consumption will only increase in the coming years as the infrastructure expands. P. Todd Noe, director of nuclear technologies engineering at Microsoft, shared a note regarding the listing, stating: "This is not just a job, it is a challenge. By joining us, you will be part of a global movement that is transforming the way we produce and consume energy. You will also have the chance to grow your skills, advance your career, and make an impact on millions of lives." Below, you can see an example SMR from NuScale.
NuScale SMR

BOOX Super Refresh Technology Explained

Reading a mystery novel on an ePaper device, as tension grows, you start flipping through the pages faster and faster to uncover who the murderer is. Second to spoilers, what may bother you could be ghosting—faint traces of previously displayed text lingering on the screen. For most E Ink products, that's a tricky problem. However, after years of study, BOOX came out with a solution—BOOX Super Refresh (BSR) technology.

Challenges Facing the ePaper Industry
In the ePaper industry, the trade-off between display and responsiveness is a long-existing puzzle. And the issue mostly comes down to how electronic ink works. The content you see on the screen is created by up to millions of microcapsules, each roughly the diameter of human hair. Within these microcapsules are charged black and white particles. Depending on varying electrical fields, different particles change positions, becoming either visible or invisible on the top of the capsule, thus forming what you see on the screen.

MiTAC to Showcase Cloud and Datacenter Solutions, Empowering AI at Intel Innovation 2023

Intel Innovation 2023 - September 13, 2023 - MiTAC Computing Technology, a professional IT solution provider and a subsidiary of MiTAC Holdings Corporation, will showcase its DSG (Datacenter Solutions Group) product lineup powered by 4th Gen Intel Xeon Scalable processors for enterprise, cloud and AI workloads at Intel Innovation 2023, booth #H216 in the San Jose McEnery Convention Center, USA, from September 19-20.

"MiTAC has seamlessly and successfully managed the Intel DSG business since July. The datacenter solution product lineup enhances MiTAC's product portfolio and service offerings. Our customers can now enjoy a comprehensive one-stop service, ranging from motherboards and barebones servers to Intel Data Center blocks and complete rack integration for their datacenter infrastructure needs," said Eric Kuo, Vice President of the Server Infrastructure Business Unit at MiTAC Computing Technology.

NVIDIA CEO Meets with India Prime Minister Narendra Modi

Underscoring NVIDIA's growing relationship with the global technology superpower, Indian Prime Minister Narendra Modi met with NVIDIA founder and CEO Jensen Huang Monday evening. The meeting at 7 Lok Kalyan Marg—as the Prime Minister's official residence in New Delhi is known—comes as Modi prepares to host a gathering of leaders from the G20 group of the world's largest economies, including U.S. President Joe Biden, later this week.

"Had an excellent meeting with Mr. Jensen Huang, the CEO of NVIDIA," Modi said in a social media post. "We talked at length about the rich potential India offers in the world of AI." The event marks the second meeting between Modi and Huang, highlighting NVIDIA's role in the country's fast-growing technology industry.
Return to Keyword Browsing
May 21st, 2024 05:47 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts