News Posts matching #2027

Return to Keyword Browsing

Intel's Server Share Slips to 67% as AMD and Arm Widen the Gap

In just a few years, AMD has gone from the underdog to Intel's most serious challenger in the server world. Thanks to its EPYC processors, AMD now captures about a third of every dollar spent on server CPUs, up from essentially zero in 2017. Over that same period, Intel's share has slipped from nearly 100% to roughly 63%, signaling a significant shift in what companies choose to power their data centers. The real inflection point came with AMD's Zen architecture: by mid-2020, EPYC had already claimed more than 10% of server-CPU revenues. Meanwhile, Intel's rollout of Sapphire Rapids Xeons encountered delays and manufacturing issues, leaving customers to look elsewhere. By late 2022, AMD was over the 20% mark, and Intel found itself under 75% for the first time in years.

Looking ahead, analysts at IDC and Mercury Research, with data compiled by Bank of America, expect AMD's slice of the revenue pie to grow to about 36% by 2025, while Intel drops to around 55%. Arm-based server chips are also starting to make real inroads, forecast to account for roughly 9% of CPU revenue next year as major cloud providers seek more energy- and cost-efficient options. By 2027, AMD could approach a 40% revenue share, Intel may fall below half the market, and Arm designs could capture 10-12%. Remember that these figures track revenue rather than unit sales: AMD's gains come primarily from high-end, high-core-count processors, whereas Intel still shifts plenty of lower-priced models. With AMD poised to launch its Genoa and Bergamo EPYCs and Intel banking on the upcoming E-core Xeon 6 series to regain its footing, the fight for server-CPU supremacy is far from over. Still, Intel's once-unbeatable lead is clearly under threat.

Ark 2 Gets Disappointing Update as Dinosaur Survival Game Optimistically Pushed Back to 2027

Ark 2, the long-awaited sequel to Ark: Survival Evolved, was originally slated to launch as early as 2023, but it was later delayed to late 2024, and shortly after that deadline was missed, the Steam release date was simply changed to "to be announced." Now, however, the studio behind the Ark franchise, Studio Wildcard, says that it is optimistic for a 2027 launch. In an interview with PCGamesN, co-founders of the studio, Jesse Rapczak and Jeremy Stieglitz, said that the studio put Ark 2 on hold partially to make Ark Survival Ascended, which has helped the team further come to grips with Unreal Engine 5 and test out the new capabilities and technologies on offer from the new game engine.

"A small portion of our team continues to refine the mechanics of [Ark 2's] combat... It's very difficult to do soulslike combat in a multiplayer PvP context," says Stieglitz, adding that at least 18 months of focussed development work will be required to turn the existing content for Ark 2 into a playable early access game. Ark Survival Ascended has since launched in the meantime, and in the interview with PCGamesN, the game studio's executives say that it is still looking for the right time to pivot its development efforts from Ascended to Ark 2 without compromising the revenue stream generated by Ark Survival Ascended. Wildcard and prior Ark 2 trailers have made a number of ambitious promises when it comes to Ark 2, including a revamped traversal system, soulslike PVP combat, and a switch to third-person gameplay. The new game will also include NPC enemies—other than the wild beasts usually encountered in current Ark games—further complicating the development of the new game.

NVIDIA and HPE Join Forces to Construct Advanced Supercomputer in Germany

NVIDIA and Hewlett Packard Enterprise announced Tuesday at a supercomputing conference in Hamburg their partnership with Germany's Leibniz Supercomputing Centre to build a new supercomputer called Blue Lion which will deliver approximately 30 times more computing power than the current SuperMUC-NG system. The Blue Lion supercomputer will run on NVIDIA's upcoming Vera Rubin architecture. This setup combines the Rubin GPU with NVIDIA's first custom CPU Vera. The integrated system aims to unite simulation, data processing, and AI into one high-bandwidth low-latency platform. Optimized to support scientific research it boasts shared memory coherent compute abilities, and in-network acceleration.

HPE will build the system using its next-gen Cray technology by including NVIDIA GPUs along with cutting-edge storage and interconnect systems. The Blue Lion supercomputer will use HPE's 100% fanless direct liquid-cooling setup. This design circulates warm water through pipes for efficient cooling while the generated system's heat output will be reused to warm buildings nearby. The Blue Lion project comes after NVIDIA said Lawrence Berkeley National Lab in the US will also set up a Vera Rubin-powered system called Doudna next year. Scientists will have access to the Blue Lion supercomputer beginning in early 2027. The Blue Lion supercomputer, based in Germany will be utilized by researchers working on climate, physics, and machine learning. In contrast, Doudna, the U.S. Department of Energy's next supercomputer, will get its data from telescopes, genome sequencers, and fusion experiments.

NACON Confirmed as Official WRC Games Franchise Publisher - Starting in 2027

WRC Promoter has confirmed that the publishing of games based on the FIA World Rally Championship will return to French publisher NACON. The agreement covers the development and publishing of the official WRC games and eSports competitions, granting NACON exclusive rights for PC and consoles for six seasons, from 2027 through 2032.

As part of this strategic partnership, NACON will begin development of an all-new WRC game series, aiming to deliver an immersive experience that faithfully reflects the reality of the championship and meets the expectations of both rally enthusiasts and new players. The games will feature the official rallies, vehicles, teams, drivers, and sponsors among the many anticipated content elements. This project represents a complete reboot of the franchise, based on an entirely fresh vision, and marks an ambitious new chapter in the brand's development.

Intel Forecasts Foundry Break‑Even in 2027 as 14A Node Debuts

Intel says its struggling Foundry division will finally break even in 2027, just as its advanced 14A process comes online. The announcement came during the J.P. Morgan Global Technology, Media & Communications Conference, where CFO David Zinsner outlined the plan to offset years of quarterly losses. Intel has been pouring billions of dollars into new fabs and equipment as it races to keep pace with TSMC and Samsung. Its first milestone is the 18A process, whose first product, codenamed Panther Lake, will arrive in client PCs late in 2025, with volume production following in 2026. Intel also plans to use 18A for its next Xeon "Clearwater Forest" server chips and offer it to a small set of external partners to prove the technology's readiness. "We have to start by using our own chips," Zinsner explained. "Once we show that Panther Lake and Clearwater Forest perform as expected, we'll see more interest from outside customers for 18A, 18A‑P, and then 14A."

He acknowledged that initial adoption is low, but expressed confidence that proven performance will drive committed volume. A key part of the strategy is the use of High‑NA EUV lithography at 14A. Zinsner admitted this will raise equipment costs at first, but he believes the improved transistor density and power efficiency will more than make up for the investment, boosting margins and factory utilization. Intel's path to break even also incorporates revenue from mature nodes such as Intel 16/12, advanced packaging services, and collaborations with UMC and Tower. Under its "smart capital" model, the company will balance internal wafer demand with third‑party work, maintaining flexibility and cost discipline. With a relatively modest external revenue target (for a cash-bleeding foundry), low to mid single‑digit billions per year, Intel Foundry aims to reach break‑even in 2027 and move into sustained profitability soon afterward. By 2027, Intel 14A node and ehnaced the 1.8 nm-class 18A-P(T) node will also complement these break-even efforts.

Supermassive Games Reportedly Ends Development of Unannounced Blade Runner IP

Rumors about the recent cancelation of an unannounced Blade Runner project; following the alleged completion of a pre-production phase at Supermassive Games. Insider Gaming's "exclusive" article proposes that the UK-based computer game development studio had started work on a "character focused, cinematic, action adventure" around September 2024. An inside source disclosed a "Blade Runner: Time To Live" title, and a futuristic "New Zurich" setting—in 2065. The online publication has acquired "internal company documents" that apparently outlined a 10-12 hour single player campaign experience and a "compelling story blending the philosophical themes of Blade Runner, with kinetic action-adventure gameplay." Veteran members of Supermassive's "The Quarry" core dev team were reportedly involved in the IP's early-stage production cycle.

Insider Gaming outlined a surprising mix of internal details—sourced from their industry mole—suggesting that this project is/was not related to Alcon Interactive Group and Annapurna Interactive's still in-progress Blade Runner title. The report described some of Supermassive's key goals: "a full release was planned for September 2027 on PlayStation 5, Xbox Series X|S, PC, and the 'Gen 10' Xbox and PlayStation systems. It was set to have a full development budget of roughly $45 million with $9 million of it being used for external performance capture and acting talent. What wasn't included in the budget, however, was original music production, image rights and usage fees for performers, QA and game testing, localization, and any future DLC. As with any budget, the amount was subject to change as development got underway and costs rose or fell." The British company is still working on Directive 8020, an original premise sci-fi interactive adventure—presumably, nearing the end of full-blown production within the coming months.

Intel's Software-Defined Vehicle Strategy: "Frisco Lake" and "Grizzly Lake" SoCs

At the 2025 Shanghai Auto Show, Intel revealed its next-generation automotive system-on-chip lineup, unveiling two ambitious platforms, "Frisco Lake" and "Grizzly Lake". The company described these new designs as key steps toward fully software-driven vehicles, where much of the intelligence is handled by high-performance processors instead of dedicated hardware circuits. Intel said these chips would support advanced driver assistance and richer multimedia features. Intel's second generation Software Defined Vehicle, or SDV, Frisco Lake, is built on the upcoming "Panther Lake" architecture. The first volumes are expected in the first half of 2026, and TDP options will be among 20-65 W to meet different use cases. Intel says Frisco Lake will deliver ten times more AI performance and sixty-one percent better energy efficiency compared to the current Raptor Lake-based platform.

The new graphics block is based on the third-generation Xe architecture, known as "Celestial", replacing the older Battlemage design. Frisco Lake also supports twelve simultaneous camera inputs and up to two hundred and eighty audio channels. Linux kernel patch analysis also shows Frisco Lake cores are based on Panther Lake, confirming Intel's adaptation of its client CPUs for automotive use. Looking further ahead, Intel shared an early roadmap for its third-generation SDV platform, Grizzly Lake, which should arrive in the first half of 2027. Codenamed Monument Peak, these chips will use "Nova Lake" cores and may offer up to 32 efficiency-optimized cores along with an integrated Xe GPU capable of about seven TeraFLOPS. Additional features include support for six independent displays, twelve camera interfaces, and compliance with automotive safety standards.

TSMC Unveils Next-Generation A14 Process at North America Technology Symposium

TSMC today unveiled its next cutting-edge logic process technology, A14, at the Company's North America Technology Symposium. Representing a significant advancement from TSMC's industry-leading N2 process, A14 is designed to drive AI transformation forward by delivering faster computing and greater power efficiency. It is also expected to enhance smartphones by improving their on-board AI capabilities, making them even smarter. Planned to enter production in 2028, the current A14 development is progressing smoothly with yield performance ahead of schedule.

Compared with the N2 process, which is about to enter volume production later this year, A14 will offer up to 15% speed improvement at the same power, or up to 30% power reduction at the same speed, along with more than 20% increase in logic density. Leveraging the Company's experience in design-technology co-optimization for nanosheet transistor, TSMC is also evolving its TSMC NanoFlex standard cell architecture to NanoFlex Pro, enabling greater performance, power efficiency and design flexibility.

Rapidus Confirms Launching 2nm Pilot Line in April, Mass Production Set for 2027

Rapidus Corporation today announced that its plans and budget for fiscal year 2025 have been approved by Japan's New Energy and Industrial Technology Development Organization (NEDO). The approval covers two commissioned projects under NEDO's "Post-5G Information and Communication Systems Infrastructure Enhancement R&D Project / Development of Advanced Semiconductor Manufacturing Technology (Commissioned)." These projects are the "Research and Development of 2 nm-Generation Semiconductor Integration Technology and short TAT (turnaround time) Manufacturing Technology Based on Japan-U.S. Collaboration" and "Development of Chiplet, Package Design and Manufacturing Technology for 2 nm-Generation Semiconductors."

The first of these projects, focused on front-end processes, was launched in November 2022 as part of Japan's next-generation semiconductor R&D effort. Under this program, Rapidus has continued construction of the Innovative Integration for Manufacturing (IIM) facility in Chitose, Hokkaido, which will serve as its production base. It also sent engineers to IBM in the U.S. to jointly develop 2 nm logic semiconductor mass production technologies and continued to achieve target performance as planned. Furthermore, Rapidus has installed EUV lithography and other production equipment at the IIM facility, and started cleanroom operation. As a result of these efforts, the company achieved its performance targets for FY2024.

CD Projekt Red Anticipates "The Witcher IV" Release Window: After 2026

CD Projekt RED unveiled its primary development project late last year: The Witcher IV. A pre-rendered cinematic trailer—utilizing a highly-customized Unreal Engine 5 build running on mystery NVIDIA GPU—showcased next-generation visuals. As revealed by NVIDIA in the new year, a GeForce RTX 5090 graphics card acted as the processing conduit for CD Projekt Red's fantasy featurette. Months later, company leadership has divulged a very loose timeframe for the highly-anticipated sequel's eventual launch. During a recent call with investors—exploring financial results from 2024, and future forecasts—the company expects profits to climb consistently over the next three years. As highlighted by many news reports, long-term franchise fans will need to remain patient—CD Projekt's calendar for next year seems to be free of forthcoming AAA content: "even though we do not plan to release The Witcher 4 by the end of 2026, we are still driven by this financial goal."

Given the Polish company's flagship branch kicking into a "full production" high gear phase around late 2024, a project on the (triple-A+) scale of The Witcher IV would require a long gestation period. Renewed online theories have placed a potential release window somewhere in 2027, possibly coinciding with the rollout of next-gen consoles. A noted industry soothsayer and veteran games journalist—Jason Schreier (resident at Bloomberg)—weighed in on the matter. He has dismissed many predictive reports about The Witcher IV arriving in 2026, as well as Naughty Dog's "The Heretic Prophet"—commenting on this topic, he stated: "I'm pretty sure I said they were both going to be very early teases. Neither of those games will be out next year." Piotr Nielubowicz—CD Projekt's chief financial officer—did not go into specifics during his firm's recently concluded earnings call: "we are not going to announce the precise launch date for the game yet. All we could share now to give more visibility to investors is that the game will not be launched within the time frame of the first target for the incentive program, which ends December 31, 2026."

Insiders Believe Xbox Handheld Launching This Year, Followed by Series X|S Successors in 2027

In an exclusive report, Windows Central has claimed that Microsoft is collaborating with an unnamed "PC gaming OEM" on an Xbox handheld console. Jez Corden has heard from several insiders; they reckon that a "later in 2025" launch is possible, if development goes smoothly enough. A leak from Winter 2024 indicated that Microsoft's gaming division was "targeting a handheld gaming experience," but Phil Spencer has freely disclosed his ambitions for his team's portable project. Last November, he confirmed that something was in pipeline—with a view to take on very visible competition: Steam Deck, ASUS ROG Ally and Lenovo Legion Go. The latest Windows Central investigative piece divulges some unprecedented details about: "codename 'Keenan,' this gaming handheld will look unmistakably 'Xbox' we're told, complete with an official Xbox guide button, and Xbox design sensibilities. Given that this is a partner device, similar to Lenovo's SteamOS partnership with Valve, I expect this handheld to be more PC-oriented. Keenan is almost definitely running full Windows, putting the Microsoft Store and PC Game Pass front and center, alongside the ability to install things like Steam."

Based on inside track knowledge, Corden anticipates operating system innovations: "I expect the handheld will test new Windows 11 'device aware' capabilities, while reducing third-party OEM bloatware that are typical of devices like the Lenovo Legion Go and ASUS ROG Ally. I expect Microsoft will leverage widgets on the Xbox Game Bar on PC for controlling things like TDP and fan speed, while hopefully having a more streamlined OS experience for controller use. Of course, existing PC gaming OEMs will benefit from these efforts as well—but the research will also help with Microsoft's further-out plans." Almost a month and a half ago, Spencer outlined a sort of wishlist for "innovative" future Xbox hardware—given that Sony is rumored to be devising a "standalone PlayStation handheld," he was likely envisioning next-gen home consoles. Windows Central's sources have provided additional insights into potential Xbox Series X|S successors.

NVIDIA and Broadcom Testing Intel 18A Node for Chip Production

TSMC appears to be in for a competitive period, as sources close to Reuters note that both NVIDIA and Broadcom have tested Intel's 18A node with initial test chips. These tests are early indicators of whether Intel can successfully pivot into the contract manufacturing sector currently dominated by TSMC. Intel's 18A technology—featuring RibbonFET transistors and PowerVia backside power delivery—continues progressing through its development roadmap. The technology's performance characteristics reportedly sit between TSMC's current and next-generation nodes, creating a narrow window of competitive opportunity that Intel must capitalize on. What makes these particular tests significant is their positioning relative to actual production commitments. Chip designers typically run multiple test phases before allocating high-volume manufacturing contracts, with each progression reducing technical risk.

Reuters also reported that a six-month qualification delay for third-party IP blocks, which represents a critical vulnerability in Intel's foundry strategy, potentially undermining its ability to service smaller chip designers who rely on these standardized components. However, when this IP (PHY, controller, PCIe interface, etc.) is qualified for the 18A node, it is expected to go into many SoCs that will equal in millions of shipped chips. Additionally, the geopolitical dimensions of Intel's foundry efforts ease concerns of US-based chip designers as they gain a valuable manufacturing partner in their supply chain. Nonetheless, the 18A node is competitive with TSMC, and Intel plans only to evolve from here. Intel's current financial trajectory is the number one beneficiary if it proves good. With foundry revenues declining 60% year-over-year and profitability pushed beyond 2027, the company must demonstrate commercial viability to investors increasingly skeptical of its capital-intensive manufacturing strategy. Securing high-profile customers like NVIDIA could provide the market validation necessary to sustain continued investment in its foundry infrastructure.

Chinese Mature Nodes Undercut Western Silicon Pricing, to Capture up to 28% of the Market This Year

Chinese manufacturers have seized significant market share in legacy chip production, driving prices down and creating intense competitive pressure that Western competitors cannot match. The so-called "China shock" in the semiconductor sector appears as mature node production shifts East at accelerating rates. Legacy process nodes, which are usually 16/20/22/24 nm and larger, form the backbone of consumer electronics and automotive applications while providing established manufacturers with stable revenue streams for R&D investment. However, this economic framework now faces structural disruption as Chinese fabs leverage domestic demand and government support to expand capacity. By Q4 2025, Chinese facilities will control 28% of global mature chip production, with projections indicating further expansion to 39% by 2027.

This rapid capacity growth directly results from Beijing's strategic pivot following US export controls on advanced semiconductor equipment, which redirected investment toward mature nodes where technological barriers remain lower. This is happening in parallel with companies like SMIC, although isolated, which are developing lithography solutions for cutting-edge 5 nm and 3 nm wafer production. For older nodes, The market impact appears most pronounced in specialized materials like silicon carbide (SiC). Industry benchmark 6-inch SiC wafers from Wolfspeed were previously $1,500, compared to current $500 pricing from Guangzhou Summit Crystal Semiconductor—representing a 67% price compression that Western manufacturers cannot profitably match. Multiple semiconductor firms report significant financial strain from this pricing pressure. Wolfspeed has implemented 20% workforce reductions following a 96% market capitalization decline, while Onsemi recently announced 9% staff cuts. With more Chinese expansion into the mature node category, Western companies can't keep up with the lowered costs of what is now becoming a commodity.

OLED MacBook Air Delayed to 2029 According to a Recent Report

MacBook Air aficionados, at least most of them, have been longing for an OLED-equipped variant for quite a while now. OLED displays, especially the tandem-style units that Apple ships with its iPad Pros, have undeniable advantages over tradition LCDs, such as a near-infinite contrast ratio, near-instant response times, and excellent color reproduction. The fear of panel burn-in does exist, although as OLED technology progresses, such fears continue to subside. That said, for those who are holding out for it, the grapevine indicates they will have to hold their horses for a while longer.

A recent report by The Elec has stated that the MacBook Air, which was previously expected to get the OLED treatment sometime in 2027, has now been delayed by another two years. As such, the MacBook Air is now not expected to boast an OLED display before 2029 at the earliest. The Elec claims that the primary cause behind the delay is the lackluster sales boost brought by the OLED upgrade to the iPad Pro lineup, which fell short of what Apple anticipated. That said, the MacBook Air will utilize "Oxide TFT" technology for its LCDs starting 2027, allowing for improved color accuracy, energy efficiency, and contrast. MacBook Pros have already utilized the technology since 2022, and are still expected to boast OLED panels by 2026.

Fujitsu Previews Monaka: 144-Core Arm CPU Made with Chiplets

Fujitsu has previewed its next-generation Monaka processor, a 144-core powerhouse for data center. Satoshi Matsuoka of the RIKEN Center for Computational Science showcased the mechanical sample on social media platform X. The Monaka processor is developed in collaboration with Broadcom and employs an innovative 3.5D eXtreme Dimension System-in-Package architecture featuring four 36-core chiplets manufactured using TSMC's N2 process. These chiplets are stacked face-to-face with SRAM tiles through hybrid copper bonding, utilizing TSMC's N5 process for the cache layer. A distinguishing feature of the Monaka design is its approach to memory architecture. Rather than incorporating HBM, Fujitsu has opted for pure cache dies below compute logic in combination with DDR5 DRAM compatibility, potentially leveraging advanced modules like MR-DIMM and MCR-DIMM.

The processor's I/O die supports cutting-edge interfaces, including DDR5 memory, PCIe 6.0, and CXL 3.0 for seamless integration with modern data center infrastructure. Security in the design is taken care of with the implementation of Armv9-A's Confidential Computing Architecture for enhanced workload isolation. Fujitsu has set ambitious goals for the Monaka processor. The company aims to achieve twice the energy efficiency of current x86 processors by 2027 while maintaining air cooling capabilities. The processor aims to do AI and HPC with the Arm SVE 2 support, which enables vector lengths up to 2048 bits. Scheduled for release during Fujitsu's fiscal year 2027 (April 2026 to March 2027), the Monaka processor is shaping up as a competitor to AMD's EPYC and Intel's Xeon processors.

Samsung Plans 400-Layer V-NAND for 2026 and DRAM Technology Advancements by 2027

Samsung is currently mass-producing its 9th generation V-NAND flash memory chips with 286 layers unveiled this April. According to the Korean Economic Daily, the company targets V-NAND memory chips with at least 400 stacked layers by 2026. In 2013, Samsung became the first company to introduce V-NAND chips with vertically stacked memory cells to maximize capacity. However, stacking beyond 300 levels proved to be a real challenge with the memory chips getting frequently damaged. To address this problem, Samsung is reportedly developing an improved 10th-generation V-NAND that is going to use the Bonding Vertical (BV) NAND technology. The idea is to manufacture the storage and peripheral circuits on separate layers before bonding them vertically. This is a major shift from the current Co-Packaged (CoP) technology. Samsung stated that the new method will increase the density of bits per unit area by 1.6 times (60%), thus leading to increased data speeds.

Samsung's roadmap is truly ambitious, with plans to launch the 11th generation of NAND in 2027 with an estimated 50% improvement in I/O rates, followed by 1,000-layer NAND chips by 2030. Its competitor, SK hynix, is also working on 400-layer NAND aiming to have the technology ready for mass production by the end of 2025, as we previously mentioned in August. Samsung, the current HBM market leader with a 36.9% market share have also plans for its DRAM sector intending to introduce the sixth-generation 10 nm DRAM, or 1c DRAM by the first half of 2025. Then we can expect to see Samsung's seventh-generation 1d nm (still on 10 nm) in 2026, and by 2027 the company hopes to release its first generation sub-10 nm DRAM, or 0a DRAM memory that will use a Vertical Channel Transistor (VCT) 3D structure similar to what NAND flash utilizes.

Intel Completes Second ASML High-NA EUV Machine Installation

According to TechNews Taiwan, Intel has made significant progress in implementing ASML's cutting-edge High-NA EUV lithography technology. The company has successfully completed the assembly of its second High-NA "Twinscan EXE" EUV system at its Portland facility, as confirmed by Mark Phillips, Intel's Director of Lithography Hardware. Christophe Fouquet, CEO of ASML, highlighted that the new assembly process allows for direct installation at the customer's site, eliminating the need for disassembly and reassembly, thus saving time and resources. Phillips expressed enthusiasm about the technology, noting that the improvements offered by High-NA EUV machines have surpassed expectations compared to standard EUV systems. Given the massive $380 million price point of these High-NA systems, any savings are valuable in the process.

The rapid progress in installation and implementation of High-NA EUV technology at Intel's facilities positions the company strongly for production transition. With all necessary infrastructure in place and inspections of High-NA EUV masks already underway, Intel aims to have its Intel 14A process in mass production by 2026-2027. As Intel leads in High-NA EUV adoption, other industry giants are following suit. ASML plans to deliver High-NA EUV systems to TSMC by year-end, with rumors suggesting that TSMC's first system will possibly arrive in September. Samsung has also committed to the technology, although recent reports indicate a potential reduction in their procurement plans. Additionally, this development has sparked discussions about the future of photoresist technology, with Phillips suggesting that while Chemically Amplified Resist (CAR) is currently sufficient, future advancements may require metal oxide photoresists. This provides a small insight into Intel's future nodes.

TSMC's Next-Gen AI Packaging: 12 HBM4 and A16 Chiplets by 2027

During the Semicon Taiwan 2024 summit event, TSMC VP of Advanced Packaging Technology, Jun He, spoke about the importance of merging AI chip memory and logic chips using 3D IC technology. He predicted that by 2030 the worldwide semiconductor industry would hit the $1 trillion milestone with HPC and AI leading 40 percent of the market share. In 2027, TSMC will introduce the 2.5D CoWoS technology that includes eight A16 process chipsets and 12 HBM4. AI processors that use this technology will not only be much cheaper to produce but will also provide engineers with a greater level of convenience. Engineers will have the option to write new codes into them instead. Manufacturers are cutting the SoC and HBM architectural conversion and mass production costs down to nearly one-fourth.

Nevertheless, the increasing production capacities of 3D IC technology remain the main challenge, as the size of chips and the complexity of manufacturing are decisive factors. However, the higher the size of the chips, the more chiplets are added, and thus the performance is improved, but this now makes the process even more complicated and is associated with more risks of misalignment, breakage, and extraction failure.

Samsung to Install High-NA EUV Machines Ahead of TSMC in Q4 2024 or Q1 2025

Samsung Electronics is set to make a significant leap in semiconductor manufacturing technology with the introduction of its first High-NA 0.55 EUV lithography tool. The company plans to install the ASML Twinscan EXE:5000 system at its Hwaseong campus between Q4 2024 and Q1 2025, marking a crucial step in developing next-generation process technologies for logic and DRAM production. This move positions Samsung about a year behind Intel but ahead of rivals TSMC and SK Hynix in adopting High-NA EUV technology. The system is expected to be operational by mid-2025, primarily for research and development purposes. Samsung is not just focusing on the lithography equipment itself but is building a comprehensive ecosystem around High-NA EUV technology.

The company is collaborating with several key partners like Lasertec (developing inspection equipment for High-NA photomasks), JSR (working on advanced photoresists), Tokyo Electron (enhancing etching machines), and Synopsys (shifting to curvilinear patterns on photomasks for improved circuit precision). The High-NA EUV technology promises significant advancements in chip manufacturing. With an 8 nm resolution capability, it could make transistors about 1.7 times smaller and increase transistor density by nearly three times compared to current Low-NA EUV systems. However, the transition to High-NA EUV comes with challenges. The tools are more expensive, costing up to $380 million each, and have a smaller imaging field. Their larger size also requires chipmakers to reconsider fab layouts. Despite these hurdles, Samsung aims for commercial implementation of High-NA EUV by 2027.

0patch Offers Additional Windows 10 Security Updates, Extending Usage Until 2030

0patch plans to combat Microsoft's ending Windows 10 support by offering unofficial security updates for the 2015 operating system. Microsoft is ending Windows 10 security updates on October 14, 2025, after which the OS will stop receiving patches for vulnerabilities. The Redmond giant will provide you with an option to update your Windows 10 build, however, with a hefty fee slapped. Extended Security Updates (ESU) pricing structure follows a tiered model that doubles each year. From October 2025 to October 2026, the cost is $61 per device. The following year, from October 2026 to October 2027, the price increases to $122 per device. In the final year, spanning October 2027 to October 2028, the cost rises to $244 per device. For users planning to maintain Windows 10 until October 2028, the total expense over the three-year period would amount to $427 per device.

However, 0patch, a company focused on providing unofficial security updates for Windows OSes, will provide Windows 10 users with free and paid security updates post-end of service. Their system focuses on delivering targeted "micropatches" for critical vulnerabilities that emerge after Microsoft's official support ends. These micropatches are designed to be extremely precise and minimal, often consisting of just a few CPU instructions. A key feature of 0patch's approach is its non-invasive nature. The patches are applied directly to running processes in the computer's memory, leaving the original Microsoft files untouched. This method allows for rapid deployment of security fixes without requiring system reboots or interrupting user activities. The patching process is designed to be seamless and virtually unnoticeable to users. For instance, a user working on a document wouldn't experience any disruption while a micropatch is being applied. This approach is particularly beneficial for servers, where continuous uptime is crucial, as patches can be implemented without any downtime.

Micron Confirms US Fab Expansion Plan: Idaho and New York Fabs by 2026-2029

Micron has announced more precise timeframes for the commencement of operations at its two new memory facilities in the United States during its Q3 FY2024 results presentation. The company expects these fabs, located in Idaho and New York, to begin production between late 2026 and 2029. The Idaho fab, currently under construction near Boise, is slated to start operations between September 2026 and September 2027. Meanwhile, the New York facility is projected to come online in the calendar year 2028 or later, pending the completion of regulatory and permitting processes. These timelines align with Micron's original plans announced in 2022 despite recent spending optimizations. The company emphasizes that these investments are crucial to support supply growth in the latter half of this decade.

Micron's capital expenditure for FY2024 is set at approximately $8 billion, with a planned increase to around $12 billion in FY2025. This substantial rise in spending, targeting a mid-30s percentage of revenue, will support various technological advancements and facility expansions. A substantial portion of this increased investment - over $2 billion - will be dedicated to constructing the new fabs in Idaho and New York. Additional funds will support high-bandwidth memory assembly and testing, as well as the development of other fabrication and back-end facilities. Sanjay Mehrotra, Micron's CEO, underscored the importance of these investments, stating that the new capacity is essential to meet long-term demand and maintain the company's market position. He added that these expansions, combined with ongoing technology transitions in Asian facilities, will enable Micron to grow its memory bit supply in line with industry demand.

Kioxia Optimistic About Introducing 1000-Layer 3D NAND by 2027

Kioxia presented a technology roadmap at the IWM 2024 conference in Seoul, projecting the development of 1,000-layer 3D NAND by 2027. This ambitious goal is based on extrapolating past trends, which saw NAND layers increase from 24 in 2014 to 238 in 2022. Kioxia's plan involves not only increasing layer count but also shrinking cell size and increasing bit levels from TLC (3 bits per cell) to QLC (4 bits per cell), and possibly even to PLC (5 bits per cell).

However, these advancements come with significant technical challenges. Etching the vertical connecting holes (through-silicon vias or TSVs) are harder to achieve and can lead to higher channel resistance. Kioxia proposes solutions such as using single-crystalline silicon instead of polysilicon and switching from tungsten to molybdenum to reduce resistance. They also suggest moving to multi-lane wordlines to reduce the die area needed for electrical connectivity.

Micron DRAM Production Plant in Japan Faces Two-Year Delay to 2027

Last year, Micron unveiled plans to construct a cutting-edge DRAM factory in Hiroshima, Japan. However, the project has faced a significant two-year delay, pushing back the initial timeline for mass production of the company's most advanced memory products. Originally slated to begin mass production by the end of 2025, Micron now aims to have the new facility operational by 2027. The complexity of integrating extreme ultraviolet lithography (EUV) equipment, which enables the production of highly advanced chips, has contributed to the delay. The Hiroshima plant will produce next-generation 1-gamma DRAM and high-bandwidth memory (HBM) designed for generative AI applications. Micron expects the HBM market, currently dominated by rivals SK Hynix and Samsung, to experience rapid growth, with the company targeting a 25% market share by 2025.

The project is expected to cost between 600 and 800 billion Japanese yen ($3.8 to $5.1 billion), with Japan's government covering one-third of the cost. Micron has received a subsidy of up to 192 billion yen ($1.2 billion) for construction and equipment, as well as a subsidy to cover half of the necessary funding to produce HBM at the plant, amounting to 25 billion yen ($159 million). Despite the delay, the increased investment in the factory reflects Micron's commitment to advancing its memory technology and capitalizing on the growing demand for HBM. An indication of that is the fact that customers have pre-ordered 100% of the HBM capacity for 2024, not leaving a single HBM die unused.

Samsung Roadmaps UFS 5.0 Storage Standard, Predicts Commercialization by 2027

Mobile tech tipster, Revegnus, has highlighted an interesting Samsung presentation slide—according to machine translation, the company's electronics division is already responding to an anticipated growth of "client-side large language model" service development. This market trend will demand improved Universal Flash Storage (UFS) interface speeds—Samsung engineers are currently engaged in: "developing a new product that uses UFS 4.0 technology, but increases the number of channels from the current 2 to 4." The upcoming "more advanced" UFS 4.0 storage chips could be beefy enough to be utilized alongside next-gen mobile processors in 2025. For example; ARM is gearing up "Blackhawk," the Cortex-X4's successor—industry watchdogs reckon that the semiconductor firm's new core is designed to deliver "great Large Language Model (LLM) performance" on future smartphones. Samsung's roadmap outlines another major R&D goal, but this prospect is far off from finalization—their chart reveals an anticipated 2027 rollout. The slide's body of text included a brief teaser: "at the same time, we are also actively participating in discussions on the UFS 5.0 standard."

Intel Ohio Fab Opening Delayed to 2027/2028

Construction of Intel's New Albany, Ohio fabrication site started back in late 2022—since then, a series of setbacks have caused anticipated timelines to slip. Team Blue's original plans included a 2025 opening ceremony—last month, this was amended to late 2026 or early 2027. New equipment deliveries have been affected by extreme weather conditions—Intel appears to be shoring up its flood prevention systems at their Licking County location. Ohio's Department of Development received a progress report at the start of this month, authored by Team Blue staffers—revised figures indicate that Fabrication sites 1 and 2 are expected to reach operational status somewhere within "2027-2028."

Jim Evers (Intel's Ohio Site Manager) stated: "we are making great progress growing the Silicon Heartland. In addition to the approximately $1.5 billion investment in completed spends through 12/31/23 referenced in the report, Intel has an additional $3 billion in contractually committed spends underway, totaling $4.5 billion committed toward our Ohio One projects." Intel committed a hefty $20 billion greenfield investment into the two Ohio wafer fab sites, but the latest progress report indicates that just under a quarter of that budget has trickled out of company coffers (so far). Evers's statement continued: "this investment is growing every day as we work to establish a new manufacturing campus to build leading-edge semiconductor chips right here in Ohio." A Tom's Hardware report reminds us about Team Blue's New Albany project receiving "over $2 billion in incentives." Industry rumors posit that the US government is readying a multi-billion dollar grant for Intel's Arizona facility.
Return to Keyword Browsing
Jul 6th, 2025 23:50 CDT change timezone

New Forum Posts

Popular Reviews

TPU on YouTube

Controversial News Posts