News Posts matching #ASML

Return to Keyword Browsing

US Government Can't Stop Chinese Semiconductor Advancement, Notes Former TSMC VP

The Chinese semiconductor industry is advancing, and interestingly, it is growing rapidly under sanctions, even with the blacklisting of companies by the US government. China's semiconductor industry is mainly represented by companies like Semiconductor Manufacturing International Corp (SMIC) and Huawei Technologies, who are leading the investment and progress in both chip manufacturing and chip design. According to the latest interview with Bloomberg, former TSMC Vice President Burn J. Lin said that the US government and its sanctions can not stop the advancement of Chinese semiconductor companies. Currently, Lin notes that SMIC and Huawei can use older machinery to produce more advanced chips.

Even so, SMIC could progress to 5 nm technology using existing equipment, particularly with scanners and other machinery from ASML. Development under sanctions would also force China to experiment with new materials and other chip packaging techniques that yield higher performance targets. SMIC has already developed a 7 nm semiconductor manufacturing node, which Huawei used for its latest Mate 60 Pro smartphone, based on Huawei's custom HiSilicon Kirin 9000S chip. Similarly, the transition is expected to happen to the 5 nm node as well, and it is only a matter of time before we see other nodes appear. "It is just not possible for the US to completely prevent China from improving its chip technology," noted Burn J. Lin.

ASML Reports €6.7 Billion Net Sales and €1.9 Billion Net Income in Q3 2023

Today ASML Holding NV (ASML) has published its 2023 third-quarter results.
  • Q3 net sales of €6.7 billion, gross margin of 51.9%, net income of €1.9 billion
  • Quarterly net bookings in Q3 of €2.6 billion of which €0.5 billion is EUV
  • ASML expects Q4 2023 net sales between €6.7 billion and €7.1 billion and a gross margin between 50% and 51%
  • ASML confirms its expectation to grow net sales towards 30% in 2023
CEO statement and outlook
"Our third-quarter net sales came in at €6.7 billion, around the midpoint of our guidance, with a gross margin of 51.9%, higher than guided, primarily driven by the DUV product mix and some one-off costs effects. "The semiconductor industry is currently working through the bottom of the cycle and our customers expect the inflection point to be visible by the end of this year. Customers continue to be uncertain about the shape of the demand recovery in the industry. We therefore expect 2024 to be a transition year. Based on our current perspective, we take a more conservative view and expect a revenue number similar to 2023. But we also look at 2024 as an important year to prepare for significant growth that we expect for 2025.

ASML Issues Statement Regarding New US government's Export Control Regulations

Today, the US authorities published the updated version of the advanced computing and semiconductor manufacturing equipment rule, imposing additional restrictions on export of advanced chip manufacturing technology. These regulations will become effective after a period of 30 days. Given the length and complexity of the regulations, ASML will need to carefully assess any potential implications. However, as to our business, from the information we received, it is our understanding that the new regulations will be applicable to a limited number of fabs in China related to advanced semiconductor manufacturing.

These export control measures will likely have an impact on the regional split of our systems sales in the medium to long term. However, we do not expect these measures to have a material impact on our financial outlook for 2023 and for our longer-term scenarios for 2025 and 2030, as communicated during our Investor Day in November 2022. ASML will seek further clarification from the US authorities on the scope of these new regulations. ASML is fully committed to comply with all applicable laws and regulations including export control legislation in the countries in which we operate.

TSMC Reportedly Tells Vendors to Delay Fab Equipment Deliveries

Reuters appears to be following every (internal) step that TSMC takes—their latest report suggests that company leadership has "told its major suppliers to delay the delivery of high-end chip making equipment." Two anonymous sources believe that execs are anxious about a predicted decrease in customer demand, and cost control plans have been implemented as a temporary measure. ASML is allegedly one of the vendors affected by TSMC's decision making.

Reuters conducted an interview with ASML CEO Peter Wennink a week prior—he acknowledged that some orders for high-end tools had been pushed back, without naming specific client identities, but the situation should resolve itself shortly. He stated that it was a mere "short-term management" issue: "we've had several (news) reports about fab readiness. Not only in Arizona... but also in Taiwan." ASML is reported to be operating at maximum capacity, and overall sales are forecast to grow 30% this financial year.

ASML's First Pilot Tool for Next-gen Products to be Delivered in 2023

ASML's CEO, Peter Wennink, has announced that his team will be shipping out the first pilot tool (a high-NA EUV system) in its next product line before the end of this year. Reuters reports that supply chain problems have caused hold-ups along the way, but the Dutch multinational corporation is confident in delivering its next-gen opening salvo—these high numerical aperture EUV machines are large enough to warrant transportation via truck, and their per unit cost is over €300 million (~$322 million). The most demanding of chipmakers will be snapping up ASML's behemoth apparatuses in order to produce improved (i.e. smaller) chips over the next ten years.

Wennink spoke to Reuters at an industry event (that took place in Eindhoven): "A few suppliers had some difficulties in actually ramping up and also giving us the right level of technological quality, so that led to some delay. But in fact the first shipment is still this year." The CEO expects to see a growth in revenue thanks to burgeoning interest in AI-oriented silicon—new manufacturing facilities in Arizona and Taiwan are primed to adopt high-NA EUV machines in 2024. Key clients will be experimenting with these new machines (EXE:5200), before a full push into commercial production—logic chip makers have demanded that they get priority access over memory manufacturers. Intel has made declarations, in the recent past, that its foundries are first in line to receive ASML's latest and greatest tools.

ASML reports €6.9 billion net sales and €1.9 billion net income in Q2 2023

Today ASML Holding NV (ASML) has published its 2023 second-quarter results.
  • Q2 net sales of €6.9 billion, gross margin of 51.3%, net income of €1.9 billion
  • Quarterly net bookings in Q2 of €4.5 billion of which €1.6 billion is EUV
  • ASML expects Q3 2023 net sales between €6.5 billion and €7.0 billion and a gross margin of around 50%
  • ASML expects 2023 net sales growth towards 30% compared to 2022
CEO statement and outlook
"Our second-quarter net sales came in at €6.9 billion, at the high end of our guidance, with a gross margin of 51.3%, higher than guided, primarily driven by additional DUV immersion revenue in the quarter. "Our customers across different market segments are currently more cautious due to continued macro-economic uncertainties, and therefore expect a later recovery of their markets. Also, the shape of the recovery slope is still unclear. However, our strong backlog of around €38 billion provides us with a good basis to navigate these short-term uncertainties.

ASML Issues Statement Regarding Dutch Export Control Regulations

Today the Dutch government has published the new regulations regarding export controls of semiconductor equipment. As announced earlier in March, the new export controls focus on advanced chip manufacturing technology, including the most advanced deposition and immersion lithography systems.

Due to these export control regulations, ASML will need to apply for export licenses with the Dutch government for all shipments of its most advanced immersion DUV lithography systems (TWINSCAN NXT:2000i and subsequent immersion systems). The Dutch government will determine whether to grant or deny the required export licenses and provide further details to the company on any conditions that apply.

YMTC Using Locally Sourced Equipment for Advanced 3D NAND Manufacturing

According to the South China Morning Post (SCMP) sources, Yangtze Memory Technologies Corp (YMTC) has been plotting to manufacture its advanced 3D NAND flash using locally sourced equipment. As the source notes, YMTC has placed big orders from local equipment makers in a secret project codenamed Wudangshan, named after the Taoist mountain in the company's home province of Hubei. Last year, YTMC announced significant progress towards creating 200+ layer 3D NAND flash before other 3D NAND makers like Micron and SK Hynix. Called X3-9070, the chip is a 232-layer 3D NAND based on the company's advanced Xtacking 3.0 architecture.

As the SCMP finds, YTMC has placed big orders at Beijing-based Naura Technology Group, maker of etching tools and competitor to Lam Research, to manufacture its advanced flash memory. Additionally, YTMC has reportedly asked all its tool suppliers to remove all logos and other marks from equipment to avoid additional US sanctions holding the development back. This significant order block comes after the state invested 7 billion US Dollars into YTMC to boost its production capacity, and we see the company utilizing those resources right away. However, few industry analysts have identified a few "choke points" in YTMC's path to independent manufacturing, as there are still no viable domestic alternatives to US-based tool makers in areas such as metrology tools, where KLA is the dominant player, and lithography tools, where ASML, Nikon, and Canon, are noteworthy. The Wuhan-based Wudangshan project remains secret about dealing with those choke points in the future.

ASML Announces 2023 First Quarter Financial Results

Today, ASML Holding NV (ASML) has published its 2023 first quarter results.

The company reported Q1 net sales of €6.7 billion, with gross margin of 50.6%, and net income of €2.0 billion. Quarterly net bookings in Q1 2023 were at €3.8 billion of which €1.6 billion is EUV. ASML expects Q2 2023 net sales between €6.5 billion and €7.0 billion and a gross margin between 50% and 51%. ASML expects 2023 net sales to grow over 25% compared to 2022.

NVIDIA, ASML, TSMC and Synopsys Set Foundation for Next-Generation Chip Manufacturing

NVIDIA today announced a breakthrough that brings accelerated computing to the field of computational lithography, enabling semiconductor leaders like ASML, TSMC and Synopsys to accelerate the design and manufacturing of next-generation chips, just as current production processes are nearing the limits of what physics makes possible.

The new NVIDIA cuLitho software library for computational lithography is being integrated by TSMC, the world's leading foundry, as well as electronic design automation leader Synopsys into their software, manufacturing processes and systems for the latest-generation NVIDIA Hopper architecture GPUs. Equipment maker ASML is working closely with NVIDIA on GPUs and cuLitho, and is planning to integrate support for GPUs into all of its computational lithography software products.

Netherlands Government Sets Restrictions on Chip Exports, ASML Responds

Today the Dutch government has published more information on upcoming restrictions on export of semiconductor equipment. These new export controls focus on advanced chip manufacturing technology, including the most advanced deposition and immersion lithography tools. Due to these upcoming regulations, ASML will need to apply for export licenses for shipment of the most advanced immersion DUV systems.

It will take time for these controls to be translated into legislation and take effect. Based on today's announcement, our expectation of the Dutch government's licensing policy, and the current market situation, we do not expect these measures to have a material effect on our financial outlook that we have published for 2023 or for our longer-term scenarios as announced during our Investor Day in November last year.

ASML's Ex-Employee in China Allegedly Stole Confidential Information

The Netherlands-based ASML has reportedly launched a comprehensive investigation into the company's branch in China following reports that one of its former employees allegedly stole confidential information. According to Bloomberg, the employee in question was part of a product life cycle management (PLM) program for ASML's advanced lithography solutions. Specifically, the employee worked for the Teamcenter software division responsible for lithography tool management. This software was used to create digital twins of scanners and other tools and allowed information to be shared among the company's employees. In ASML's case, the software contained all the confidential information about the scanners and how they work, which makes it a target for IP theft. We do not know if this is a China-sponsored action to boost its domestic lithography tool development; however, ASML has issued a statement below.
ASMLWe have experienced unauthorized misappropriation of data relating to proprietary technology by a (now) former employee in China. We promptly initiated a comprehensive internal review. Based upon our initial findings we do not believe that the misappropriation is material to our business. However, as a result of the security incident, certain export control regulations may have been violated. ASML has therefore reported the incident to relevant authorities. We are implementing additional remedial measures in light of this incident.

Japan and the Netherlands Said to Join US in Blocking Access to Chip Making Tools for China

According to Bloomberg, Japan and the Netherlands are getting ready to join the US in limiting access to advanced semiconductor manufacturing equipment for China. The three nations are currently in talks—that might end as soon as today—over how they can impose joint limits on what kind of equipment and tools can be exported to China. Apparently there will be no official announcement if a deal is struck, instead the restrictions will simply be implemented as required.

Bloomberg states that the Netherlands will expand export restrictions that ASML is already under, which according to the publication means stricter export rules around DEUV machines, which are used in cutting edge semiconductor nodes. Japan is said to implement similar export restrictions for Nikon as well as Tokyo Electron, with the US already having implemented restrictions for Applied Materials. The export restriction deal is in part being done to appease US equipment makers, who have complained that their international competitors haven't been under the same export restrictions when it comes to China, as they have. The question is if the export restrictions will hinder China in the long run, or if the nation will simply push ahead and develop its own, competing semiconductor manufacturing tools.

Update Jan 28th: Japan and the Netherlands reached an agreement with the US on Friday and the two countries are said to be making individual announcements with regards to their individual agreements with the US.

ASML Reports €21.2 Billion Net Sales and €5.6 Billion Net Income in 2022

Today ASML Holding NV (ASML) has published its 2022 fourth-quarter and full-year results. "Our fourth-quarter net sales came in around the midpoint of our guidance at €6.4 billion. The gross margin of 51.5% was above our guidance due to additional upgrades and insurance settlement for last year's ASML Berlin fire. "For ASML, 2022 was another strong year ending with total net sales for the year of €21.2 billion, gross margin of 50.5% and a record backlog at the end of 2022 of €40.4 billion.

"We continue to see uncertainty in the market caused by inflation, rising interest rates, risk of recession and geopolitical developments related to export controls. However, our customers indicate that they expect the market to rebound in the second half of the year. Considering our order lead times and the strategic nature of lithography investments, demand for our systems therefore remains strong.

TSMC Announces Updates for TSMC Arizona

TSMC today announced that in addition to TSMC Arizona's first fab, which is scheduled to begin production of N4 process technology in 2024, TSMC has also started the construction of a second fab which is scheduled to begin production of 3 nm process technology in 2026. The overall investment for these two fabs will be approximately US$40 billion, representing the largest foreign direct investment in Arizona history and one of the largest foreign direct investments in the history of the United States.

In addition to the over 10,000 construction workers who helped with construction of the site, TSMC Arizona's two fabs are expected to create an additional 10,000 high-paying high-tech jobs, including 4,500 direct TSMC jobs. When complete, TSMC Arizona's two fabs will manufacture over 600,000 wafers per year, with estimated end-product value of more than US $40 billion.

ASML Said to Have Plans to Bring European Supply Chain to Taiwan

Advanced Semiconductor Materials Lithography, or as the company is more commonly known, ASML, is apparently set to build a new factory in Taiwan for the production of advanced semiconductor lithography equipment. The new factory is said to be built in the Linkou area of New Taipei City and at the same time, bring with it its European supply chain, according to Taiwan Vice Premier Shen Jong-chin.

The new factory in Linkou is still some time away, as construction will only start in July, 2023. It will apparently be ASML's largest investment in Taiwan to date, although an exact figure of the investment wasn't given, but the new factory will take up 6.68 hectares or 66,800 square metres, suggesting it'll be a rather large facility. That said, not all the land will be used for the clean room facilities, as there will also be office buildings and warehouse buildings adjacent to the factory. No details on which suppliers ASML will bring with it to Taiwan, but it's likely that the likes of Zeiss, who supplies many of the mirrors in ASML's machines, will be setting up a local presence, alongside other key ASML suppliers. ASML already has an office in Hsinchu, about an hour south of New Taipei City.

ASML CTO Expects Post High-NA Lithography to be Prohibitively Costly

In an interview with Bits & Chips, ASML's CTO Martin van den Brink said that he believes that we might be reaching the end of the road for current semiconductor lithography technology in the not so distant future. However, for the time being, ASML is executing on its roadmap and after EUV, the next step is high-NA or high-numerical aperture and ASML is currently planning to have its first research high-NA scanner ready for a joint R&D venture with Imec in 2023. Assuming everything goes to plan, ASML is then planning on delivering the first R&D machines to its customers in 2024, followed by deliver of the first volume production machines using high-NA sometime in 2025. Van den Brink points out that due to the current supply chain uncertainties could affect the timing, in combination with the fact that ASML has a high demand for its EUV machines and the two technologies share a lot of components.

As such, current orders are the priority and high-NA development might be put on the back burner if need be, or as Van den Brink puts it "today's meal takes priority over tomorrow's." High-NA scanners are expected to be even more power hungry than EUV machines and are as such expected to pull around two Megawatts for the various stages. The next step in the evolution of semiconductor lithography is where ASML is expecting things to get problematic, as what the company is currently calling hyper-NA is expected to be prohibitively costly to manufacture and use. If the cost of hyper-NA grows as fast as we've seen in high-NA, it will pretty much be economically unfeasible," Van den Brink said. ASML is hoping to overcome the cost issues, but for now, the company has a plan for the next decade and things could very well change during that time and remove some of the obstacles that are currently being seen.

ASML Reports €5.4 Billion Net Sales and €1.4 Billion Net Income in Q2 2022

Today ASML Holding NV (ASML) has published its 2022 second-quarter results. Q2 net sales of €5.4 billion, gross margin of 49.1%, net income of €1.4 billion. Record quarterly net bookings in Q2 of €8.5 billion. ASML expects Q3 2022 net sales between €5.1 billion and €5.4 billion and a gross margin between 49% and 50%. Expected sales growth for the full year of around 10%.

The value of fast shipments*in 2022 leading to delayed revenue recognition into 2023 is expected to increase from around €1 billion to around €2.8 billion.
"Our second-quarter net sales came in at €5.4 billion with a gross margin of 49.1%. Demand from our customers remains very strong, as reflected by record net bookings in the second quarter of €8.5 billion, including €5.4 billion from 0.33 NA and 0.55 NA EUV systems as well as strong DUV bookings.

US Wants ASML to Stop Product Shipments to China

ASML is one of the critical semiconductors companies, as they provide tools for making actual silicon. Located in the Netherlands, they are famous for their DUV and EUV lithography tools, used to etch designs onto silicon wafers. According to the report from Bloomberg, the United States governing body is negotiating with the Dutch government to restrict the export of ASML's products to China. This came to affection following US Deputy Commerce Secretary Don Graves's visit to the Netherlands to discuss supply chain issues and meeting with ASML Chief Executive Officer Peter Wennink. While these suggested export restrictions could be beneficial to the strategic placement of US against China, it would hurt ASML's revenue as sales in China accounted for a 16% share of the company's revenue in 2021.

It is recorded that the Chinese spending spree on tools has been the greatest among every country, lasting for two years in a row. By banning ASML from exporting its lithography tools to China, the US could theoretically halt Chinese plans for achieving the government's intended semiconductor independence. The talks with the Dutch government and ASML are still a work in progress, so we are yet to see if the deal is finalized. Additionally, it is worth pointing out that the major US semiconductor manufacturing tool makers like Applied Materials and Lam Research are already banned from exporting to China.

ASML Reports Q1 2022 Financial Results

Our first-quarter net sales came in at €3.5 billion which is at the high end of our guidance. The gross margin of 49.0%, is as guided. Our first-quarter net bookings came in at €7.0 billion, including €2.5 billion from 0.33 NA and 0.55 NA EUV systems as well as very strong DUV bookings, reflecting the continued high demand for advanced and mature nodes.

"We continue to see that the demand for our systems is higher than our current production capacity. We accommodate our customers through offering high-productivity upgrades and reducing cycle time in our factories, and we continue to offer a fast shipment process. In addition, we are actively working to significantly expand capacity together with our supply chain partners. In light of the demand and our plans to increase capacity, we expect to revisit our scenarios for 2025 and growth opportunities beyond. We plan to communicate updates in the second half of the year.

Intel Installs First EUV Tool in Irish Fab 34

Last week Intel finalised the installation of its first EUV tool in Fab 34, which is located in Leixlip, Ireland. That comes just two months after Intel started installing its first chipmaking equipment in the fab. The EUV tool is made by ASML, but was shipped to Intel in Hillsboro, Oregon, USA first, before being sent back to Europe. It's unclear why it was shipped to the US first, but it's possible that Intel tested the equipment there and made sure it was to its spec, before shipping it to its new fab.

This is the first of several machines from ASML that are expected to be installed in Fab 34 and Intel says it's "a key enabler of Intel 4 process technology". The ASML machine required four unspecified Boeing aircrafts to ship, as well as 35 trucks to bring it to Fab 34. The machine, or tool as Intel calls it, has been sent in parts since December last year and has only now been completely assembled. For more details, see the video after the break.

ASML is the Next Bottle Neck in Chip Manufacturing

There have been several announcements of new semiconductor fabs being built all over the world, but those fabs might end up being empty shells, all because of a single company. ASML or Advanced Semiconductor Materials Lithography if you prefer, is a Dutch company that produces various types of photolithography machines that are used to produce semiconductors. They're the world leader in their field and their machines are used by the likes of Intel and TSMC to do the physical etching of the silicon wafers that semiconductors are produced from. Now a warning from ASML's CEO, Peter Wennink has arrived, stating that the company can't keep up with demand.

The company isn't expecting to fulfil orders for at least the next couple of years, despite expecting to ship more machines this year than last year and more machines next year than this year. As each of these machines are largely custom made for its customers and hand built, due to the low production volumes, it takes a lot of time to construct each of these huge machines. Wennink said that the company "really needs to step up our capacity significantly more than 50 per cent. That will take time."

Semiconductor Makers Don't Expect Russia-Ukraine War to Worsen Chip Shortages

Much of the globalized world's logistics is still in disarray from the COVID-19 pandemic, and now, Russia has thrown its weight on the matter through its invasion of Ukraine. As the initial offensive played out in the early hours of February 24th, semiconductor industry analysts turned to the situation with a prying eye - how exactly could this deadlock, and the following political and economical sanctions towards Russia, impact the semiconductor industry? The consensus seems to be a favorable one: not that much.

"The semiconductor industry has a diverse set of suppliers of key materials and gases, so we do not believe there are immediate supply disruption risks related to Russia and Ukraine," said John Neuffer, chief executive and president of the Semiconductor Industry Association. That sentiment was echoed by Intel; a company representative told Bloomberg that the company does not anticipate "(...) any impact on our supply chain. Our strategy of having a diverse, global supply chain minimizes our risk of potential local interruptions."

Intel Purchases ASML TWINSCAN EXE:5200 EUV Production System

Today, ASML Holding and Intel Corporation announced the latest phase of their longstanding collaboration to advance the cutting edge of semiconductor lithography technology. Intel has issued its first purchase order to ASML for the delivery of the industry's first TWINSCAN EXE:5200 system - an extreme ultraviolet (EUV) high-volume production system with a high numerical aperture and more than 200 wafers per hour productivity - as part of the two companies' long-term High-NA collaboration framework.

"Intel's vision and early commitment to ASML's High-NA EUV technology is proof of its relentless pursuit of Moore's Law. Compared to the current EUV systems, our innovative extended EUV roadmap delivers continued lithographic improvements at reduced complexity, cost, cycle time and energy that the chip industry needs to drive affordable scaling well into the next decade," said ASML President and CTO Martin van den Brink.

ASML Provides Damage Assessment of Fire Incident, EUV Component Production Affected

ASML, makers of vital semiconductor fabrication machinery powering the world's leading fabs, including TSMC, provided its first damage-assessment of the fire incident at one of its component plants near Berlin, on January 3. This plant manufactures several mechanical and optical components of semiconductor fabrication machinery, such as wafer tables and clamps, reticle chucks and mirror blocks.

ASML, in a press-release, disclosed that production of components used in DUV (deep-ultraviolet) machines, has been restarted, as that area of the plant is unaffected by the fire. A region of the plant that manufactures wafer clamps for use in its EUV (extreme ultraviolet) machines, however, has been affected by the fire. The company is still in the process of coming up with a recovery plan for this area, and will come up with a tentative date for restart of production only after that. EUV lithography is leveraged for 5 nm and upcoming 3 nm silicon fabrication nodes at TSMC, Samsung, and Intel. TSMC is known to be ASML's largest customer. ASML stated that it will release its Q4-2021 and full-year 2021 financial results on January 19, and it may provide more updates on the matter.
The press-release follows.
Return to Keyword Browsing
Nov 21st, 2024 11:07 EST change timezone

New Forum Posts

Popular Reviews

Controversial News Posts