News Posts matching #production

Return to Keyword Browsing

Quinas Receives £1.1m to Enable Industrialisation of ULTRARAM

An Innovate UK project worth £1.1M has been awarded to the Lancaster University spinout firm Quinas, the global semiconductor company IQE and Lancaster and Cardiff Universities. Quinas will coordinate the ambitious project which is the first step towards volume production of the universal computer memory ULTRARAM invented by Lancaster Physics Professor Manus Hayne.

ULTRARAM has extraordinary properties, combining the non-volatility of a data storage memory, like flash, with the speed, energy-efficiency, and endurance of a working memory, like DRAM. Most of the funding for the one-year project will be spent at IQE which will scale up the manufacture of compound semiconductor layers from Lancaster University to an industrial process at the Cardiff based firm. This will involve IQE developing advanced capability for growth of the compound semiconductors gallium antimonide and aluminium antimonide for the first time. The project follows significant investment to boost the UK semiconductor industry and the establishment of the world's first compound semiconductor cluster in South Wales.

Nanya and Winbond Boost Memory Production Amid Rising Demand and Prices

As memory prices and volumes increase, manufacturers Nanya and Winbond have ceased the production cuts they implemented last year, with production now back to normal levels. Market research agencies and supply chain analysts indicate that memory shipments are expected to continue recovering in Q3 2024. Currently, memory factories are operating at a capacity utilization rate of 90% to full capacity, which is significantly higher than the 60% to 70% capacity utilization rate of wafer foundries with mature processes. Last year, Nanya adjusted its production volume reducing it by up to 20%. This year, production has gradually increased, reaching 70% to over 80% in the second quarter, and has now resumed normal levels.

Nanya anticipates that DRAM market conditions and prices will improve quarter by quarter, with the overall industry trending positively, potentially turning losses into profits in the third quarter. Nanya announced yesterday that its consolidated revenue for June was 3.363 billion yuan, marking a monthly increase of 0.35% and an annual increase of 36.83%, setting a high for the year. The cumulative consolidated revenue for the first half of the year was 19.424 billion yuan, a 44.4% increase compared to the same period last year. Nanya will hold a press conference on July 10 to announce its second-quarter financial results and operating outlook.

Demand from AMD and NVIDIA Drives FOPLP Development, Mass Production Expected in 2027-2028

In 2016, TSMC developed and named its InFO FOWLP technology, and applied it to the A10 processor used in the iPhone 7. TrendForce points out that since then, OSAT providers have been striving to develop FOWLP and FOPLP technologies to offer more cost-effective packaging solutions.

Starting in the second quarter, chip companies like AMD have actively engaged with TSMC and OSAT providers to explore the use of FOPLP technology for chip packaging and helping drive industry interest in FOPLP. TrendForce observes that there are three main models for introducing FOPLP packaging technology: Firstly, OSAT providers transitioning from traditional methods of consumer IC packaging to FOPLP. Secondly, foundries and OSAT providers packaging AI GPUs that are transitioning 2.5D packaging from wafer level to panel level. Thirdly, panel makers who are packaging consumer ICs.

Q3 Contract Prices of NAND Flash Products Constrained by Increased Production and Lower End-User Demand; Estimated to Rise by 5-10%

TrendForce reports that while the enterprise sector continues to invest in server infrastructure—especially with the rising adoption of AI driving demand for enterprise SSDs—the consumer electronics market remains lackluster. This, combined with NAND suppliers aggressively ramping up production in the second half of the year, is expected to push the NAND Flash sufficiency ratio up to 2.3% in the third quarter, curbing the blended price hike to a modest 5-10%.

This year, NAND Flash prices saw a robust rebound as manufacturers kept production in check during the first half, helping them regain profitability. However, with a noticeable ramp-up in production and sluggish retail demand, wafer spot prices have dropped significantly. Some wafer prices are now over 20% below contract prices, casting doubts on the sustainability of future price hikes.

DRAM Prices Expected to Increase by 8-13% in Q3

TrendForce reports that a recovery in demand for general servers—coupled with an increased production share of HBM by DRAM suppliers—has led suppliers to maintain their stance on hiking prices. As a result, the ASP of DRAM in the third quarter is expected to continue rising, with an anticipated increase of 8-13%. The price of conventional DRAM is expected to rise by 5-10%, showing a slight contraction compared to the increase in the second quarter.

TrendForce notes that buyers were more conservative about restocking in the second, and inventory levels on both the supplier and buyer sides did not show significant changes. Looking ahead to the third quarter, there is still room for inventory replenishment for smartphones and CSPs, and the peak season for production is soon to commence. Consequently, it is expected that smartphones and servers will drive an increase in memory shipments in the third quarter.

Apple Halts Development of Expensive Vision Pro 2 Headset, Shifts Focus to More Affordable Model

Apple has reportedly halted the development of its future Vision Pro 2 headset, opting instead to focus on a more affordable variant. The decision comes as the company grapples with the high production costs associated with the Vision Pro, which was released on February 2 in the US for $3,499 and will be released on July 12 in other countries. According to insiders familiar with the matter, Apple is not expected to manufacture more than 500,000 Vision Pro units this year, casting doubt on the device's ability to gain widespread adoption at such a premium price point. The tech giant's suppliers have already begun scaling back production, with one supplier reducing output by 50% in May due to forecasts of weaker-than-expected demand.

While the Vision Pro promised to deliver a groundbreaking mixed-reality experience, its excessive cost effectively priced it out of reach for the mass market. Recognizing this barrier, Apple has reportedly decided to abandon the development of the costlier "Pro 2" model and instead channel its efforts into creating a more budget-friendly "Vision" variant. The new device is expected to feature fewer cameras, simpler speaker systems, and a streamlined headband design, all aimed at reducing production costs. However, sources indicate that Apple is struggling to significantly lower the costs of key components, such as the display, which could further delay the launch of the successor model. Nevertheless, the company's goal is to create a mixed-reality headset priced around the level of a high-end iPhone, approximately $1,600, and launch the cheaper headset in late 2025.

Samsung Delays Texas Chip Fab to Consider 2nm Process Upgrade

Samsung Electronics is delaying construction at its planned new chip factory in Taylor, Texas. The company is considering upgrading the factory to produce more advanced 2 nm chips instead of the originally planned 4 nm chips. Samsung will make a final decision on this in Q3 2024. In April, the US government provided $6.4 billion to support Samsung's $40 billion investment in Texas chip facilities, including the Taylor factory. However, reports now suggest Samsung may skip 4 nm production at Taylor altogether.

The Taylor factory was expected to open by 2026, but equipment orders have been delayed while Samsung re-evaluates the plans. This upgrade consideration comes after Samsung recently appointed a new CEO for its semiconductor business (Device Solutions Division) to focus on new growth opportunities. While Samsung's memory chip profits surged in 2024, its previous 3 nm chip was not very successful. By going straight to 2 nm in Taylor, Samsung likely aims to leapfrog competitors in advanced chip manufacturing (TSMC, and Intel plan to produce 2 nm-class chips in the US by the end of this decade).

Global Semiconductor Fab Capacity Projected to Expand 6% in 2024 and 7% in 2025

To keep pace with unremitting growth in demand for chips, the global semiconductor manufacturing industry is expected to increase capacity by 6% in 2024 and post a 7% gain in 2025, reaching a record capacity high of 33.7 million wafers per month (wpm: 8-inch equivalent), SEMI announced today in its latest quarterly World Fab Forecast report.

Leading-edge capacity for 5 nm nodes and under is expected to grow 13% in 2024, chiefly driven by generative artificial intelligence (AI) for data center training, inference, and leading-edge devices. To increase processing power efficiency, chipmakers including Intel, Samsung, and TSMC are poised to start production of 2 nm Gate-All-Around (GAA) chips, boosting total leading-edge capacity growth by 17% in 2025.

FlexEnable Makes a Historic Breakthrough in Bringing Disruptive Flexible Display Technology to Mass Market

FlexEnable, the leader in the development and production of flexible organic electronics for active optics and displays, today announced that the world's first mass-produced consumer product incorporating organic transistor technology has started shipping. The device, called Ledger Stax, is a secure crypto wallet developed by French company and market-leader Ledger. FlexEnable partnered with display manufacturing companies DKE (Shanghai) and Giantplus (Taiwan) to realise Ledger's design for a credit card-sized product with an E Ink display uniquely wrapped around a 180-degree bend.

Ledger Stax features a display radius of curvature never before achieved in an e-paper display product, made possible by the use of highly flexible organic thin-film transistors (OTFTs), comprising organic materials and process IP developed, supplied and licensed by FlexEnable.

Asetek Announces New AI Optimized Cold Plate Solution In Collaboration With Fabric8Labs

Asetek, innovator of gaming hardware for next-level immersive gaming experiences and the creator of the all-in-one (AIO) liquid cooler, today announced a strategic partnership with Fabric8labs, a leading innovator in metal 3D printing. This exclusive partnership with Fabric8Labs covers the commercial and consumer desktop markets and introduces a revolutionary advancement in liquid cooling technology, showcased in the AI Optimized Cold Plate. Leveraging Fabric8Labs' cutting-edge Electrochemical Additive Manufacturing (ECAM) technology, Asetek has developed a cold plate design that will redefine industry leading performance.

The partnership embodies a shared commitment to innovation that drives superior performance, high quality, and lasting reliability. The AI Optimized Cold Plate demonstrates a significant improvement over previous generations, highlighting the effectiveness of this collaboration. Fabric8Labs' unique 3D printing technology plays a pivotal role in this innovation. Their ECAM method allows for the creation of complex, high-resolution structures that significantly improve thermal capabilities through enhanced fluid dynamics. Also, by eliminating the need for post-processing, ECAM ensures the highest quality and integrity of each cold plate and is massively scalable to support high-volume production demands.

Samsung Strike Has No Immediate Impact on Memory Production, with No Shipment Shortages

The Samsung Electronics Union is reportedly planning to strike on June 7, TrendForce reports that this strike will not impact DRAM and NAND Flash production, nor will it cause any shipment shortages. Additionally, the spot prices for DRAM and NAND Flash had been declining prior to the strike announcement, and there has been no change in this downtrend since the announcement.

Samsung's global share of DRAM and NAND Flash output in 2023 was 46.8% and 32.4%, respectively. Even though the South Korean plants account for all 46.8% of global DRAM production and about 17.8% of global NAND Flash production, TrendForce identifies four reasons why this strike will not impact production. Firstly, the strike involves employees at Samsung's headquarters in Seocho, Seoul, where union participation in higher, but these employees do not directly engage in production. Secondly, this strike is planned for only one day, which falls within the flexible scheduling range for production.

Growing Demand for High-Capacity Storage Propels Enterprise SSD Revenue Up by Over 60% in 1Q24

TrendForce reports that a reduction in supplier production has led to unmet demand for high-capacity orders since 4Q23. Combined with procurement strategies aimed at building low-cost inventory, this has driven orders and significantly boosted enterprise SSD revenue, which reached US$3.758 billion in 1Q24—a staggering 62.9% QoQ increase.

TrendForce further highlights that demand for high-capacity, driven by AI servers, has surged. North American clients increasingly adopt high-capacity QLC SSDs to replace HDDs, leading to over 20% growth in Q2 enterprise SSD bit procurement. This has also driven up Q2 enterprise SSD contract prices by more than 20%, with revenue expected to grow by another 20%.

STMicroelectronics to Build the World's First Fully Integrated Silicon Carbide Facility in Italy

STMicroelectronics, a global semiconductor leader serving customers across the spectrum of electronics applications, announces a new high-volume 200 mm silicon carbide ("SiC") manufacturing facility for power devices and modules, as well as test and packaging, to be built in Catania, Italy. Combined with the SiC substrate manufacturing facility being readied on the same site,these facilities will form ST's Silicon Carbide Campus, realizing the Company's vision of a fully vertically integrated manufacturing facility for the mass production of SiC on one site.The creation of the new Silicon Carbide Campus is a key milestone to support customers for SiC devices across automotive, industrial and cloud infrastructure applications, as they transition to electrification and seek higher efficiency.

"The fully integrated capabilities unlocked by the Silicon Carbide Campus in Catania will contribute significantly to ST's SiC technology leadership for automotive and industrial customers through the next decades," said Jean-Marc Chery, President and Chief Executive Officer of STMicroelectronics. "The scale and synergies offered by this project will enable us to better innovate with high-volume manufacturing capacity, to the benefit of our European and global customers as they transition to electrification and seek more energy efficient solutions to meet their decarbonization goals."
STMicroelectronics Italy

Blackwell Shipments Imminent, Total CoWoS Capacity Expected to Surge by Over 70% in 2025

TrendForce reports that NVIDIA's Hopper H100 began to see a reduction in shortages in 1Q24. The new H200 from the same platform is expected to gradually ramp in Q2, with the Blackwell platform entering the market in Q3 and expanding to data center customers in Q4. However, this year will still primarily focus on the Hopper platform, which includes the H100 and H200 product lines. The Blackwell platform—based on how far supply chain integration has progressed—is expected to start ramping up in Q4, accounting for less than 10% of the total high-end GPU market.

The die size of Blackwell platform chips like the B100 is twice that of the H100. As Blackwell becomes mainstream in 2025, the total capacity of TSMC's CoWoS is projected to grow by 150% in 2024 and by over 70% in 2025, with NVIDIA's demand occupying nearly half of this capacity. For HBM, the NVIDIA GPU platform's evolution sees the H100 primarily using 80 GB of HBM3, while the 2025 B200 will feature 288 GB of HBM3e—a 3-4 fold increase in capacity per chip. The three major manufacturers' expansion plans indicate that HBM production volume will likely double by 2025.

Samsung Could Start 1nm Mass Production Sooner Than Expected

Samsung Foundry business is set to announce its technology roadmap and plans to strengthen the foundry ecosystem at the Foundry and SAFE Forum in Silicon Valley from June 12 to 13. Notably, Samsung is expected to advance its 1 nm process mass production plan, originally scheduled for 2027, to 2026. This move could look like a surprise since recent rumors (denied by Samsung) emerged about HBM3 and HBM3E chips running too hot and failing to be validated by NVIDIA.

Previously, Samsung successfully mass-produced the world's first 3 nm wafer foundry in June 2022. The company plans to start mass production of its second-generation 3 nm process in 2024 and 2 nm process in 2025. Speculations suggest Samsung may integrate these nodes and potentially begin mass-producing 2 nm chips as early as the second half of 2024. In comparison, rival TSMC aims to reach the A16 node (1.6 nm) in 2027 and start mass production of its 1.4 nm process around 2027-2028.
Samsung Foundry

Micron DRAM Production Plant in Japan Faces Two-Year Delay to 2027

Last year, Micron unveiled plans to construct a cutting-edge DRAM factory in Hiroshima, Japan. However, the project has faced a significant two-year delay, pushing back the initial timeline for mass production of the company's most advanced memory products. Originally slated to begin mass production by the end of 2025, Micron now aims to have the new facility operational by 2027. The complexity of integrating extreme ultraviolet lithography (EUV) equipment, which enables the production of highly advanced chips, has contributed to the delay. The Hiroshima plant will produce next-generation 1-gamma DRAM and high-bandwidth memory (HBM) designed for generative AI applications. Micron expects the HBM market, currently dominated by rivals SK Hynix and Samsung, to experience rapid growth, with the company targeting a 25% market share by 2025.

The project is expected to cost between 600 and 800 billion Japanese yen ($3.8 to $5.1 billion), with Japan's government covering one-third of the cost. Micron has received a subsidy of up to 192 billion yen ($1.2 billion) for construction and equipment, as well as a subsidy to cover half of the necessary funding to produce HBM at the plant, amounting to 25 billion yen ($159 million). Despite the delay, the increased investment in the factory reflects Micron's commitment to advancing its memory technology and capitalizing on the growing demand for HBM. An indication of that is the fact that customers have pre-ordered 100% of the HBM capacity for 2024, not leaving a single HBM die unused.

Malaysia Plans to Build the Largest Integrated Circuit Design Park in Southeast Asia

Malaysia is firmly positioning itself as a hub for semiconductor investment, with Prime Minister Anwar Ibrahim stating the country aims to attract over $100 billion in investment into the industry. This aligns with recent trends in the region, such as China's announcement of a massive $47.5 billion investment fund or Micron's plans to build a new chip factory in Hiroshima, Japan by the end of 2027.

As a major player accounting for 13% of global chip testing and packaging, Malaysia has benefited from strong investments by Intel ($7 billion for an advanced packaging plant) and Infineon ($5.4 billion to expand its power chip plant). The country now hopes around 10 local companies will make substantial investments in new facilities focused on chip design and advanced packaging. To support this goal, the Malaysian government plans to allocate $5.3 billion in fiscal backing, along with tax breaks and subsidies. It is targeting these investments to generate revenues between $210 million and $1 billion for the semiconductor industry in Malaysia.
Microchips

Toshiba Completes New 300-Millimeter Wafer Fabrication Facility for Power Semiconductors

Toshiba Electronic Devices & Storage Corporation ("Toshiba") today held a ceremony to mark the completion of a new 300-millimeter wafer fabrication facility for power semiconductors and an office building at Kaga Toshiba Electronics Corporation in Ishikawa Prefecture, Japan, one of Toshiba's key group companies. The completion of construction is a major milestone for Phase 1 of Toshiba's multi-year investment program. Toshiba will now proceed with equipment installation, toward starting mass production in the second half of fiscal year 2024. Once Phase 1 reaches full-scale operation, Toshiba's production capacity for power semiconductors, mainly MOSFETs and IGBTs, will be 2.5 times that of fiscal 2021, when the investment plan was made. Decisions on the construction and start of operation of Phase 2 will reflect market trends.

The new manufacturing building follows and will make a major contribution to Toshiba's Business Continuity Plan (BCP): it has a seismic isolation structure that absorbs earthquake shock and redundant power sources. Energy from renewable source and solar panels on the roof of the building (onsite PPA model) will allow the facility to meet 100% of its power requirement with renewable energy.

UMC Introduces Industry's First 3D IC Solution for RFSOI, Accelerating Innovations in the 5G Era

United Microelectronics Corporation ("UMC"), a leading global semiconductor foundry, today announced the industry's first 3D IC solution for RFSOI technology. Available on UMC's 55 nm RFSOI platform, the stacked silicon technology reduces die size by more than 45% without any degradation of radio frequency (RF) performance, enabling customers to efficiently integrate more RF components to address the greater bandwidth requirements of 5G.

As mobile device manufacturers pack more frequency bands in newer generations of smartphones, the company's 3D IC solution for RFSOI addresses the challenge of integrating more RF front-end modules (RF-FEM) - critical components in devices to transmit and receive data - in a device by vertically stacking dies to reduce surface area. RFSOI is the foundry process used for RF chips such as low noise amplifiers, switches, and antenna tuners. Utilizing wafer-to-wafer bonding technology, UMC's 3D IC solution for RFSOI resolves the common issue of RF interference between stacked dies. The company has received multiple patents for this process, which is now ready for production.

Samsung Electronics Begins Industry's First Mass Production of 9th-Gen V-NAND

Samsung Electronics Co., Ltd., the world leader in advanced memory technology, today announced that it has begun mass production for its one-terabit (Tb) triple-level cell (TLC) 9th-generation vertical NAND (V-NAND), solidifying its leadership in the NAND flash market.

"We are excited to deliver the industry's first 9th-gen V-NAND, which will bring future applications leaps forward. In order to address the evolving needs for NAND flash solutions, Samsung has pushed the boundaries in cell architecture and operational scheme for our next-generation product," said SungHoi Hur, Head of Flash Product & Technology at Samsung Electronics. "Through our latest V-NAND, Samsung will continue to set the trend for the high-performance, high-density solid state drive (SSD) market that meets the needs for the coming AI generation."

DRAM Manufacturers Gradually Resume Production, Impact on Total Q2 DRAM Output Estimated to Be Less Than 1%

Following in the wake of an earthquake that struck on April 3rd, TrendForce undertook an in-depth analysis of its effects on the DRAM industry, uncovering a sector that has shown remarkable resilience and faced minimal interruptions. Despite some damage and the necessity for inspections or disposal of wafers among suppliers, the facilities' strong earthquake preparedness of the facilities has kept the overall impact to a minimum.

Leading DRAM producers, including Micron, Nanya, PSMC, and Winbond had all returned to full operational status by April 8th. In particular, Micron's progression to cutting-edge processes—specifically the 1alpha and 1beta nm technologies—is anticipated to significantly alter the landscape of DRAM bit production. In contrast, other Taiwanese DRAM manufacturers are still working with 38 and 25 nm processes, contributing less to total output. TrendForce estimates that the earthquake's effect on DRAM production for the second quarter will be limited to a manageable 1%.

Magnitude 7.4 Earthquake in Taiwan Halts Production at TSMC and Other Foundries

At 07:58 local time, Taiwan was rocked by a magnitude 7.4 earthquake on the east coast which was felt nationwide and as far as to the southeastern parts of China and southern Japan. It caused some major damage in the east coast city of Hualien where the epicentre of the quake was located, as well as surrounding areas. The earthquake reportedly left nine people dead and over 900 people injured islandwide. TSMC, UMC, PSMC and Innolux all halted some of their production lines in the Hsinchu Science Park on the west coast of the island, although this is said to have been as a preventive step, rather than caused by actual damage from the earthquake.

All the above-mentioned companies also evacuated their staff from their factories due to the intensity of the quake, as it reached a magnitude of around four or five almost island wide. The semiconductor manufacturers are all inspecting their fabs now to make sure none of the equipment was damaged by the earthquake. Innolux also has a factory in the southern city of Kaohsiung and has reported that it has suspended production in Hsinchu, but that production in Kaohsiung wasn't affected. Local media in Taiwan hasn't made any mention of the likes of Micron or other chip manufacturers, but it's likely that the situation is similar, since all of these companies are located in the same areas on the island. Aftershocks have continued throughout the day and there's a risk for further big earthquakes to follow in the coming days.
Images courtesy of the Taiwan Central Weather Administration (CWA).

Update 15:11 UTC: Updated with an official statement from Micron below.

Researcher's Curiosity Uncovers Backdoor in Popular Linux Utility, Compromising SSH Connections

In a interesting discovery that sent a series of shockwaves through the Linux community, Andres Freund, Principal Software Engineer at Microsoft, located a malicious backdoor in the widely used compression tool called "xz Utils." The backdoor, introduced in versions 5.6.0 and 5.6.1 of the utility, can break the robust encryption provided by the Secure Shell (SSH) protocol, allowing unauthorized access to affected systems. What Andres Freund found is that the latest version of xz Utils is taking 0.5 seconds in SSH on his system, while the older system with the older version took 0.1 seconds for simple processing, prompting the user to investigate and later send a widespread act for caution. While there are no confirmed reports of the backdoored versions being incorporated into production releases of major Linux distributions, the incident has raised serious concerns among users and developers alike.

Red Hat and Debian, two of the most well-known Linux distribution developers, have reported that their recently published beta releases, including Fedora 40, Fedora Rawhide, and Debian testing, unstable, and experimental distributions, used at least one of the affected versions of xz Utils. According to Red Hat officials, the first signs of the backdoor were introduced in a February 23 update, which added obfuscated (unreadable) code to xz Utils. A subsequent update the following day introduced functions for deobfuscating the code and injecting it into code libraries during the utility's update process. The malicious code has been cleverly hidden only in the tarballs, which target upstream releases of Linux distributions.

Samsung Semiconductor Discusses "Water Stress" & Impact of Production Expansion

"The Earth is Blue," said Yuri Gagarin, the first human to journey into space. With two-thirds of its surface covered in water, Earth is a planet that exuberates its blue radiance in the dark space. However, today, the scarcity of water is a challenge that planet Earth is confronted with. For some, this may be hard to understand. What happened to our blue planet Earth? To put in numbers, more than 97% of the water on Earth consists of seawater, with another 2% locked in ice caps. That only leaves a mere 1% of water available for our daily use. The problem lies in the fact that this 1% of water is gradually becoming scarcer due to reasons such as climate change, environmental pollution, and population growth, leading to increased water stress. 'Water stress' is quantified by the proportion of water demand to the available water resources on an annual basis, indicating the severity of water scarcity as the stress index rises. Higher stress indexes signify experiencing severe water scarcity.

The semiconductor ecosystem, unsustainable without water
Because water stress issues transcend national boundaries, various stakeholders including international organizations and governments work to negotiate water resource management strategies and promote collaboration. UN designates March 22nd as an annual "World Water Day" to raise awareness about the severity of water scarcity running various campaigns. Now, it's imperative for companies to also take responsibility for the water resources given and pursue sustainable management.

China's President Believes Nation's Technological Development Unhindered, Despite Equipment Restrictions

Earlier today, Dutch Prime Minister Mark Rutte met with China's President Xi Jinping—fresh reportage has focused on their discussion of technological trade restrictions. Holland's premier had to carefully navigate the conversation around recent global tensions, most notably the prevention of fancy ASML chipmaking equipment reaching the Chinese mainland. CCTV (China's state broadcaster) selected a couple of choice quotes for inclusion in an online report—Xi remarked that: "the Chinese people also have the right to legitimate development, and no force can stop the pace of China's scientific and technological development and progress." Specific manufacturers and types of machinery were not mentioned during the meeting between state leaders, but media interpretations point to recent ASML debacles being entirely relevant, given the context of international relationships.

ASML is keen to keep Chinese firms on its order books—according to AP News: "China became ASML's second-largest market, accounting for 29% of its revenue as firms bought up equipment before the licensing requirement took effect." Revised licensing agreements have stymied the supply of ASML most advanced chipmaking tools—Chinese foundries have resorted to upgrading existing/older equipment (backed by government funding) in efforts to stay competitive with international producers. Semiconductor Manufacturing International Corporation (SMIC) is reportedly racing to get natively designed EUV machines patented (in co-operation with Huawei). Post-meeting, Rutte commented (to press) on the ongoing technology restrictions: "what I can tell you is that... when we have to take measures, that they are never aimed at one country specifically, that we always try to make sure that the impact is limited, is not impacting the supply chain, and therefore is not impacting the overall economic relationship."
Return to Keyword Browsing
Jul 15th, 2024 22:42 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts