Tuesday, November 8th 2022

Eliyan Closes $40M Series A Funding Round and Unveils Industry's Highest Performance Chiplet Interconnect Technologies

Eliyan Corporation, credited for the invention of the semiconductor industry's highest-performance and most efficient chiplet interconnect, today announced two major milestones in the commercialization of its technology for multi-die chiplet integration: the close of its Series A $40M funding round, and the successful tapeout of its technology on an industry standard 5-nanometer (nm) process.

Eliyan's NuLink PHY and NuGear technologies address the critical need for a commercially viable approach to enabling high performance and cost-effectiveness in the connection of homogeneous and heterogenous architectures on a standard, organic chip substrate. It has proven to achieve similar bandwidth, power efficiency, and latency as die-to-die implementations using advanced packaging technologies, but without the other drawbacks of specialized approaches.
Eliyan's chiplet packaging method is key to realizing the scale of performance and integration required in a broad range of compute intensive applications for data centers, cloud computing, AI and graphics.

The company's founding CEO Ramin Farjadrad is the inventor of the innovative and proven Bunch of Wires (BoW) scheme, which has been adopted by the Open Compute Project (OCP). NuLink technology is backward compatible with Universal Chiplet Interconnect Express (UCIe), a standard developed by Intel and donated to the UCIe Consortium, which includes 80+ leaders in semiconductor, packaging, foundries, cloud services and IP suppliers. Farjadrad's experience includes pioneering work in creating connectivity technologies such as PAM4 SerDes, Multi-Gbps Enterprise Ethernet, and Multi-Gbps Automotive Ethernet that were eventually adopted as IEEE Standards.

Eliyan's Series A round was led by Tracker Capital Management ("Tracker Capital"), which was founded by Stephen A. Feinberg, Co-Founder and Co-Chief Executive Officer of Cerberus Capital Management, L.P. Celesta Capital and other strategic investors including Intel Capital and Micron also participated. As part of the investment from Tracker Capital, made in February 2022, Dr. Shaygan Kheradpir of Cerberus, former Group CIO and a founding member of the Executive Leadership Committee at Verizon, will join the Board of Directors of Eliyan.

Funding supports accelerated validation and commercial readiness
Funding and support from leading industry players enable fast-track design, testing, and implementation ramp-up, culminating with the demonstration of the commercial readiness of Eliyan's best-in-class chiplet interconnect technology in a recent, successful tapeout using TSMC's 5 nm process. The design confirms Eliyan's ability to achieve twice the bandwidth at less than half the power consumption of current interconnect methods and does so using a standard system-in-package (SIP) manufacturing and packaging process. The ability to implement chiplet-based systems in organic packages enables the creation of larger and higher performance solutions at considerably lower power and cost of materials. These factors provide major gains in sustainability.

The company's first silicon is expected in the first quarter of 2023.
"Technology scaling using conventional system on chip (SoC) architectures is hitting the wall, requiring a new approach in how we integrate and manufacture silicon. Our extensive background in developing bleeding-edge technologies in this space led us to focus on a key challenge: interconnect improvements for system-in-package and chip-to-memory architectures as the path to deliver performance scaling," said Eliyan CEO and co-founder, Ramin Farjadrad. "Our approach supports and is compliant with the overall industry move toward chiplet-optimized interconnect protocols, including the UCIe standard as well as High Bandwidth Memory (HBM) protocols. This financial investment by industry leaders and the successful implementation of our design in 5 nm validates our strategy and prepares us for broader commercialization efforts."

Dr. Shaygan Kheradpir of Cerberus commented: "Traditional methods of integrating multi-chip architectures impose challenges that result in high costs, low yield, manufacturing complexity, and size limitations. Eliyan has drawn upon its years of experience to develop a practical scheme that is also backward compatible with existing standards to chiplet interconnect and is optimized for delivering the necessary high bandwidth, low latency, and low power capabilities. We are confident its NuLink technology holds the key to a broader proliferation of chiplets in key market sectors such as hyperscalers, AI processor development, high-performance memory, and advanced graphics chips."

Advanced chiplet interconnect is key to extending Moore's Law
Ulitlizing the manufacturing and cost advantages of chiplets, product developers can continue to scale the performance, power efficiency and size required by high performance computing applications. Industry forecasters estimate the chiplet sector of the semiconductor market will be $50B, with high-bandwidth memory (HBM) applications representing an additional $8B market growing at 50% CAGR.

Eliyan's innovative approach to connecting multi-die chip architectures is achieved without the need for complex and advanced packaging solutions such as silicon interposers. This is essential to cost-effectively leveraging the potential of the fast-growing chiplet-based architectures that experts agree are the pathway to extend Moore's Law.

A track record of interconnect innovation to enable the chiplet ecosystem
Eliyan's BoW approach was specifically developed to address the need for highly efficient die-to-die (D2D) PHYs to connect different functions in one package.

Its NuLink technology, which is a superset of BoW and UCIe, is an innovative PHY technology that uses patented implementation techniques to provide major power-performance differentiation for die-to-die (D2D) connectivity over any packaging substrate, reducing complexity and lowering overall development time and costs. It eliminates the need for advanced packaging solutions, such as silicon interposers that limit overall system-in-package size that ultimately limits performance, results in low wafer test coverage that ultimately impacts yield, increases total cost of ownership, and extends overall manufacturing cycle time.

The company's patented NuGear is an optimized technology for 2.5/3D implementations that enables practical mix and match of chiplets with different die-to-die interfaces in in different processes (DRAM, SOI, etc.).

The technology has been under development by Farjadrad and his team since 2017. In 2018, Farjadrad proposed BoW as a superior chiplet interconnect architecture to OCP. Given the significantly improved performance and features that BoW offered over existing methods, it received strong support and later was adopted as the chiplet interconnect scheme of OCP. Farjadrad's work not only led to the adoption of BoW at OCP, but also helped influence UCIe, which is based on the same signaling/clocking schemes and architecture basics and is widely supported in the industry.

An earlier incarnation of the NuLink technology has been mass-produced on a 14 nm process, validating its commercial viability and performance advantages. The most recent version that was taped out at 5 nm delivers a minimum of 2000 Gbps/mm of edge bandwidth on a standard organic package.
Add your own comment

10 Comments on Eliyan Closes $40M Series A Funding Round and Unveils Industry's Highest Performance Chiplet Interconnect Technologies

#1
Valantar
It sounds like AMD should be very interested in this. Die-to-die-like IF without the cost of LSI or CoWoS? Yes, please!
Posted on Reply
#2
toddincabo
ValantarIt sounds like AMD should be very interested in this. Die-to-die-like IF without the cost of LSI or CoWoS? Yes, please!
So how does this compare to AMD's current technology in speed and power usage.
Posted on Reply
#3
DeathtoGnomes
ValantarIt sounds like AMD should be very interested in this. Die-to-die-like IF without the cost of LSI or CoWoS? Yes, please!
It should prove interesting to see a direct performance comparison between IF and this. Another thought is how much of IF contributes to this tech.
Posted on Reply
#4
TheLostSwede
News Editor
ValantarIt sounds like AMD should be very interested in this. Die-to-die-like IF without the cost of LSI or CoWoS? Yes, please!
"investors including Intel Capital and Micron"
Posted on Reply
#5
LabRat 891
TheLostSwede"investors including Intel Capital and Micron"
Last time I recall Micron and Intel working together, it didn't turn out too well...
*Cough*Optane*Cough*

At least, when they end up abandoning Eliyan, someone like AMD (or maybe Sun Microsystems?) will be interested in their IP(s).
Posted on Reply
#6
Valantar
TheLostSwede"investors including Intel Capital and Micron"
Micron? That's odd, wonder what they would want this for. Intel I can Definitely see - if nothing else then to keep this tech out of AMD's hands, but also as a potential half-way fall-back if they keep hitting delays for Meteor Lake and other MCM designs.
toddincaboSo how does this compare to AMD's current technology in speed and power usage.
I don't have any numbers, but from memory I seem to recall something like an order of magnitude less power per bit transferred for things like EMIB vs through package signalling? @TheLostSwede can probably enlighten us on this though?

Given that IF on current AMD CPUs sits in the 10+W range (for both 5000 and 7000 series) - nobody outside of AMD has precise numbers, but it's the majority of the uncore power of these chips, which varies from ~10-20W. An order of magnitude less would then be ~1W, which would be a massive, massive difference - and could render MCM APUs for mobile viable, for example.
Posted on Reply
#7
Wirko
ValantarI don't have any numbers, but from memory I seem to recall something like an order of magnitude less power per bit transferred for things like EMIB vs through package signalling? @TheLostSwede can probably enlighten us on this though?
AMD is not entirely without bridges, they have some in Instinct ("AMD is relying on a technology called Elevated Fanout Bridge 2.5D, which takes fanout packaging to the next level by incorporating a small silicon bridge above the substrate itself to connect two dies") and RDNA3 ("AMD is using an unspecified fanout bridge technology").
ValantarGiven that IF on current AMD CPUs sits in the 10+W range (for both 5000 and 7000 series) - nobody outside of AMD has precise numbers, but it's the majority of the uncore power of these chips, which varies from ~10-20W. An order of magnitude less would then be ~1W, which would be a massive, massive difference - and could render MCM APUs for mobile viable, for example.
10+ W is the consumption of an idle Ryzen CPU with chiplets, is that what you mean? I don't know what parts of the package contribute to that but there should be very little data transfer between chiplets, so a better bridge technology couln't reduce consumption by a lot in an idle CPU (or at low load).

And I've just noticed that monolithic parts aren't any better in this regard. At least TPU measured 50 W for the 5600X and 52 W for the 5700G system consumption on idle.
Posted on Reply
#8
Valantar
WirkoAMD is not entirely without bridges, they have some in Instinct ("AMD is relying on a technology called Elevated Fanout Bridge 2.5D, which takes fanout packaging to the next level by incorporating a small silicon bridge above the substrate itself to connect two dies") and RDNA3 ("AMD is using an unspecified fanout bridge technology").
I know, I wasn't very clear about it but I was specifically talking about their CPUs, as I've been in several discussions touching on IF power here previously and how that harms AMD's efficiency at lower power levels compared to Intel's monolithic chips.
Wirko10+ W is the consumption of an idle Ryzen CPU with chiplets, is that what you mean? I don't know what parts of the package contribute to that but there should be very little data transfer between chiplets, so a better bridge technology couln't reduce consumption by a lot in an idle CPU (or at low load).

And I've just noticed that monolithic parts aren't any better in this regard. At least TPU measured 50 W for the 5600X and 52 W for the 5700G system consumption on idle.
Idle power is one thing - at idle IF can implement power saving measures, but it's still notably higher compared to a monolithic or die-to-die bridge solution. It's more interesting under load. Remember, the RAM controllers are on the IOD, so the CCDs will constantly be sending significant amounts of data across that bus even if there is minimal inter-CCD communication.

Uncore power is the sum of whatever is on the IOD, plus IF link power, so it's a bit of a mixed bag, but the majority of the IOD sits idle most of the time, and an idle PCIe or sata controller consumes minimal power. PCIe generally consumes very little power. Leaving memory and IF, of which once again IF is by far the biggest power draw (especially as memory power is mostly the DIMMs, not the controllers, and DIMMs are powered separately).

Full system power draw numbers are fundamentally unreliable for showing anything other than full system power, there's far too much variability and room for error to use that as a measurement of something like CPU idle power. Thankfully tpu has finally moved on to direct eps cable measurements in their most recent reviews.
Posted on Reply
#9
watzupken
Looks like a potential acquisition target for big chip companies.
Posted on Reply
#10
Valantar
Oh, I forgot to mention above, but EPYC and TR Pro might be the best AMD application for something like this. Anandtech's EPYC Milan review (or more specifically their later re-testing with a more up-to-date motherboard) casts some light on this: Uncore power on EPYC Milan can reach well above 100W, of which IF power is the vast majority. They even see variations in uncore power draw specifically related to how memory-bound the workloads are (down to ~50W uncore power in less memory bound workloads on the new, better tuned motherboard), a clear indication that increased memory traffic increases IF power draw. It's also worth noting that while the original test platform had an old, updated but unoptimized 1st gen EPYC motherboard that had excessive IO power at times, peak uncore power isn't drastically different between the two - it's slightly lower, but mainly lower in scenarios where IF is less utilized for memory access. In other words: through-package IF is a power hog, and is a significant hindrance to further performance scaling in server/HPC, and a significant hindrance to efficiency in MSDT and mobile applications.

Now, we know AMD is working closely with TSMC on developing exotic packaging tech - RDNA3 likely uses CoWoS, though it might be LSI - but getting similar performance without relying on interposers, whether full-sized or smaller, substrate-embedded ones like LSI and EMIB would be a major benefit to the cost and complexity of these CPUs and APUs going forward.

Which, I guess, partly explains why Intel, who already has EMIB, which is well proven at this point, would want in on this. Not only for their burgeoning fab business, but also to keep it out of AMD's hands.
Posted on Reply
May 21st, 2024 21:21 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts