Wednesday, September 4th 2024

Broadcom's Testing of Intel 18A Node Signals Disappointment, Still Not Ready for High-Volume Production

According to a recent Reuters report, Intel's 18A node doesn't seem to be production-ready. As the sources indicate, Broadcom has been reportedly testing Intel's 18A node on its internal company designs, which include an extensive range of products from AI accelerators to networking switches. However, as Broadcom received the initial production run from Intel, the 18A node seems to be in a worse state than initially expected. After testing the wafers and powering them on, Broadcom reportedly concluded that the 18A process is not yet ready for high-volume production. With Broadcom's comments reflecting high-volume production, it signals that the 18A node is not producing a decent yield that would satisfy external customers.

While this is not a good sign of Intel's Fundry contract business development, it shows that the node is presumably in a good state in terms of power/performance. Intel's CEO Pat Gelsinger confirmed that 18A is now at 0.4 d0 defect density, and it is now a "healthy process." However, alternatives exist at TSMC, which proves to be a very challenging competitor to take on, as its N7 and N5 nodes had a defect density of 0.33 during development and 0.1 defect density during high-volume production. This leads to better yields and lower costs for the contracting party, resulting in higher profits. Ultimately, it is up to Intel to improve its production process further to satisfy customers. Gelsinger wants to see Intel Foundry as "manufacturing ready" by the end of the year, and we can see the first designs in 2025 reach volume production. There are still a few more months to improve the node, and we expect to see changes implemented by the end of the year.
Sources: Reuters, via Tom's Hardware
Add your own comment

24 Comments on Broadcom's Testing of Intel 18A Node Signals Disappointment, Still Not Ready for High-Volume Production

#1
thesmokingman
Broadcom received the wafers back from Intel last month. After its engineers and executives studied the results, the company concluded the manufacturing process is not yet viable to move to high-volume production.
Pat continues to wax poetic afterwards... /smh
Posted on Reply
#2
john_
Well,...... Intel promised 5 nodes in 4 years, not 5 PRODUCTION READY nodes in 4 years.
Posted on Reply
#3
N/A
john_Well,...... Intel promised 5 nodes in 4 years, not 5 PRODUCTION READY nodes in 4 years.
Except 10nm aka Intel 7 was ready for production in 2018, 6 years ago. And 20A and 18A are just nodelets with PowerVia and RibbonFet added. For a true new node, look no further than 14A (High NA) EUV
Posted on Reply
#4
ViperXZ
With Intel never expect them to deliver what they say, or you’ll be severely disappointed that’s why I’m not disappointed at all, I expected them to lie and not deliver what they promised. This node still probably takes years to reach the level at TSMC, given historic facts with Intel. 2025? In their dreams, don’t hold your breath, or you’re soon out of it.
Posted on Reply
#5
Vayra86
So basically Intel after all these years still has nothing new.

Neat.
Posted on Reply
#8
Darmok N Jalad
Dang, they just keep getting hit. At one time, for a long time, Intel was the king of process technology. They led the way with the latest equipment and fabrication techniques. Tick/Tock was a huge success. Did they lose all the good engineers or something?
Posted on Reply
#9
Steevo
Darmok N JaladDang, they just keep getting hit. At one time, for a long time, Intel was the king of process technology. They led the way with the latest equipment and fabrication techniques. Tick/Tock was a huge success. Did they lose all the good engineers or something?
Consumers were “satisfied” with very incremental updates from a company that had little reason to improve products and instead focused on trying to stamp out the little competition they had and the fanboys rejoiced and bought their incrementally better offerings at higher prices…..

That sums up where we are at.
Posted on Reply
#10
AnotherReader
Darmok N JaladDang, they just keep getting hit. At one time, for a long time, Intel was the king of process technology. They led the way with the latest equipment and fabrication techniques. Tick/Tock was a huge success. Did they lose all the good engineers or something?
I wouldn't read too much into it just yet. After all, Intel 3 is in good enough shape to launch an enormous die like Sierra Forest.
Posted on Reply
#11
ViperXZ
Darmok N JaladTick/Tock was a huge success. Did they lose all the good engineers or something?
I would speculate Asians are simply better at it, wouldn't be the only thing they are better at (displays, audio stuff like amps, another, and these are just examples). This being said, it could also be that intel just didn't care enough about technology and more about making money, with simple money managers at the helm for a long time, until now that they have a Engineer finally back as CEO. Competition drives everything, also your own motivation. AMD didn't push them hard enough after their Bulldozer fiasco and they lacked own motivation to push on, this is 100% true for their CPU designs that barely moved after AMD wasn't competitive anymore, and it could also be true for their foundry tech, a victim of it you could say, a negative byproduct. So first Intel was a Anti-Competitive company, then they became Anti-Tech (and as a result of course Anti-Consumer, the 3 big "Antis"), because they simply stopped caring much about forwarding tech anymore. This led to them losing against AMD and losing their partnership with Apple, as Apple isn't interested in buying inefficient and overpriced processors, who also lack GPU performance. It's still funny to me Pat Gelsinger really said that he intends to win Apple back, but it's ofc something he either "has to" say as a intel CEO, or he really is that naive and really thought at the time he could do it. I knew from the get go that that ship has sailed - Apple has much more money, so it's easy for Apple to design their own (and also specifically tailored for themselves) processors and it's simply better for Apple to be independent, so I knew they would never go back, once on that ship.
Posted on Reply
#12
Eternit
Are they surprised? Is 20A ready for a high volumes production? If it was, all the Arrow Lake tiles and Lunar Lake would have been produced in 20A or combination of 20A/4/3. Even Intel 4 is in limited production and Intel 7 has issues with oxidation/degradation. They may be on track for 5 nodes in 4 years, but all of them are of poor quality. I wonder whan they will be investigated for the froud of the government money.
Posted on Reply
#13
RGAFL
ViperXZI would speculate Asians are simply better at it, wouldn't be the only thing they are better at (displays, audio stuff like amps, another, and these are just examples). This being said, it could also be that intel just didn't care enough about technology and more about making money, with simple money managers at the helm for a long time, until now that they have a Engineer finally back as CEO. Competition drives everything, also your own motivation. AMD didn't push them hard enough after their Bulldozer fiasco and they lacked own motivation to push on, this is 100% true for their CPU designs that barely moved after AMD wasn't competitive anymore, and it could also be true for their foundry tech, a victim of it you could say, a negative byproduct. So first Intel was a Anti-Competitive company, then they became Anti-Tech (and as a result of course Anti-Consumer, the 3 big "Antis"), because they simply stopped caring much about forwarding tech anymore. This led to them losing against AMD and losing their partnership with Apple, as Apple isn't interested in buying inefficient and overpriced processors, who also lack GPU performance. It's still funny to me Pat Gelsinger really said that he intends to win Apple back, but it's ofc something he either "has to" say as a intel CEO, or he really is that naive and really thought at the time he could do it. I knew from the get go that that ship has sailed - Apple has much more money, so it's easy for Apple to design their own (and also specifically tailored for themselves) processors and it's simply better for Apple to be independent, so I knew they would never go back, once on that ship.
Think it's a combination of both AMD catching them by surprise and TSMC basically being a Apple first fab. Apple give them 10's of billions to be first on a node or develop nodes for them. When you get the type of money Apple are throwing at you you can be a bit more aggressive.

Intel i'm afraid to say are in a lot of trouble. When your own government is throwing money at you and you are still making mistake after mistake and loss after loss and then on top of that also giving money to foreign fabs to produce chips on your own soil it says to me even the government don't have much confidence in your products or capability. How long will they keep propping up Intel?
Posted on Reply
#14
Visible Noise
A non-production manufacturing node isn’t ready for production.

Slow news day?
Posted on Reply
#15
Minus Infinity
Visible NoiseA non-production manufacturing node isn’t ready for production.

Slow news day?
Sure, but given Panther Lake is supposed to be launching in 1 year it better get its act together. Aready 20A cancelled despite the hype. Intel 3 also disappeared as Intel 4 replacement. What next Nova Lake delayed due to 14A not being ready in late 2026.

AMD at least uses proven optimised older nodes wioth excellent yields and good price. N4P for Zen 5, probably N3P for Zen 6, N3E for Turin and N2 for next gen after that.
Posted on Reply
#16
R0H1T
RGAFLThink it's a combination of both AMD catching them by surprise and TSMC basically being a Apple first fab. Apple give them 10's of billions to be first on a node or develop nodes for them. When you get the type of money Apple are throwing at you you can be a bit more aggressive.
That was much later, Intel's first node delay was on 22nm by about 2Q & that was when they were still in lead. In fact Apple dual sourced from TSMC/Sammy till 16/14nm as well, that time QC was probably their biggest customer. So no it wasn't Apple who gave billions for TSMC to get this massive lead, it was because TSMC's so good that they had no other viable choice!
Posted on Reply
#17
SRB151
R0H1TThat was much later, Intel's first node delay was on 22nm by about 2Q & that was when they were still in lead. In fact Apple dual sourced from TSMC/Sammy till 16/14nm as well, that time QC was probably their biggest customer. So no it wasn't Apple who gave billions for TSMC to get this massive lead, it was because TSMC's so good that they had no other viable choice!
Is it really TSMC is that good, or, did Intel rest on their laurels because they were in a comfortable lead. When they realized what was happening, they tried to do too much (10nm much "denser" then TSMC) and could not get it working.
Posted on Reply
#18
AnotherReader
SRB151Is it really TSMC is that good, or, did Intel rest on their laurels because they were in a comfortable lead. When they realized what was happening, they tried to do too much (10nm much "denser" then TSMC) and could not get it working.
Both are true. Intel focused on share buybacks instead of EUV while TSMC focused on rolling out new nodes like clockwork. We will probably never get the full story, but Intel's manufacturing side, which had saved their bacon many times in the past, screwed up with Intel 7, and it appears that they were too slow to recognize it.
Posted on Reply
#19
ViperXZ
TSMC is that good, Asians are (more) talented at that stuff, and Intel set goals that were too high to achieve, that’s why 10nm took too much time to mature. It’s by their own words btw, not a story. They were super ambitious back then, and in a sense they are still now, this 1.8nm node, trying to leapfrog TSMC while being 2 generations behind, it doesn’t make much sense, but it’s typical Intel and it could be another fail. Now they sell 2nm as being just a step stone for 1.8nm, but I suspect it failed and now they’re talking it down, damage control with marketing (lies). This is what Intel (and in general western) companies often did and do. You can only trust what you can see proven with your own eyes and nothing else coming from them.

btw. You can easily see why “TSMC is that good” because they are easily beating Samsung. Not only Intel.
Posted on Reply
#20
AnotherReader
ViperXZTSMC is that good, Asians are (more) talented at that stuff, and Intel set goals that were too high to achieve, that’s why 10nm took too much time to mature. It’s by their own words btw, not a story. They were super ambitious back then, and in a sense they are still now, this 1.8nm node, trying to leapfrog TSMC while being 2 generations behind, it doesn’t make much sense, but it’s typical Intel and it could be another fail. Now they sell 2nm as being just a step stone for 1.8nm, but I suspect it failed and now they’re talking it down, damage control with marketing (lies). This is what Intel (and in general western) companies often did and do. You can only trust what you can see proven with your own eyes and nothing else coming from them.

btw. You can easily see why “TSMC is that good” because they are easily beating Samsung. Not only Intel.
I don't believe it's a matter of dubious racial superiority. For a long time, everyone else played second fiddle to Intel and TSMC was in Taiwan at that time as well. I believe TSMC's rise comes down to three things:
  • regular, smaller node jumps, e.g. TSMC went from 16 nm (closer to Intel's 22 nm than their 14 nm) to 10 nm and then 7 nm. Intel, on the other hand, chose a very ambitious transition between 14 nm and 10 nm (equivalent to TSMC's 7 nm)
  • Earlier use of EUV which translates to more experience
  • focus on technology rather than financial engineering
Intel still has some technologies which are better than the TSMC equivalent: EMIB and Foveros. However, they haven't used them as much as one would have thought.
Posted on Reply
#21
R0H1T
SRB151Is it really TSMC is that good, or, did Intel rest on their laurels because they were in a comfortable lead. When they realized what was happening, they tried to do too much (10nm much "denser" then TSMC) and could not get it working.
Both, the issues with node shrinks are a manifestation of being too ambitious as well as relying just too much on node shrinks for an advantage to sell your chips. Tik-tok, remember the Intel one? IIRC 22nm was late by 2 quarters, 14nm by the same amount or 3(?) quarters at most & then 10nm never came. So basically they relied too much on process (node) advantage to continue delivering the IPC & perf/W gains.

It's almost like they never planned for a "plan B" & in the state AMD was till 2014-16 they never seriously bothered with them!
Posted on Reply
#22
the54thvoid
Super Intoxicated Moderator
Enough with the bizarre race discussion.

BTW, TSMC relies on machines made by a Dutch company.

Seriously, though, nobody is being racist as far as I can see wading through this pool of geo-triggered confusion. But, regardless, drop the back and forth, and please don't let me wake up to more of this.

Thanks.
Posted on Reply
#23
RUSerious
N/AExcept 10nm aka Intel 7 was ready for production in 2018, 6 years ago. And 20A and 18A are just nodelets with PowerVia and RibbonFet added. For a true new node, look no further than 14A (High NA) EUV
IIRC, 18a was supposed to be a high volume node - and the first foundry node using industry standard design tools. Cancelling 20a, as pointed out by in the link from @Wirko , just adds another nail to the coffin Intel appears to be building. 2 decades of a management system of separate largely independent ‘fifedoms’ within Intel has come home to roost. Noice, Moore and Grove must be rolling in their graves. As an American, I hope Intel can pull off a recovery - they could become a leaner and meaner company, as happened with AMD. Keller routinely lost his sh*t trying to work within Intel, this, to me, is one of many key signals that Intel needs a disaster to breakdown the internal barriers to success and have a proper internal reorg.

PS. Duh, didn't post when I first wrote this.
Posted on Reply
#24
Minus Infinity
john_Well,...... Intel promised 5 nodes in 4 years, not 5 PRODUCTION READY nodes in 4 years.
Well Pat the Rat promised and hyped that. I wonder what real engineers at Intel were thinking at the time of his PR stunts. Qualcomm's assessment doesn't auger well for Panther Lake, but they have a year to get it right. I hope it succeeds, TSMC needs competition and Scamsung keeps failing to deliver.
Posted on Reply
Add your own comment
Sep 12th, 2024 22:20 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts