Tuesday, July 27th 2021

Intel Rebadges 10nm Enhanced SuperFin Node as "Intel 7," Invents Other Creative Node Names

Intel, in a move comparable to its competitors' Performance Rating system from the 1990s, has invented a new naming scheme for its in-house foundry nodes to claim technological parity with contemporaries such as TSMC and Samsung, that are well into the sub-10 nm class. Back in the i586 era, when Intel's competitors such as AMD and Cyrix, couldn't keep up with its clock-speeds yet found their chips to be somewhat competitive, they invented the PR (processor rating) system, with a logical number attempting to denote parity with an Intel processor's clock-speed. For example, a PR400 processor rating meant that the chip rivaled a Pentium II 400 MHz (which it mostly didn't). The last that the PR system made sense was with the final generation of single-core performance chips, Pentium 4 and Athlon XP, beyond which, the introduction of multi-core obfuscated the PR system. A Phenom X4 9600 processor didn't mean performance on par with a rival Intel chip running at an impossible 9.60 GHz.

Intel's new foundry naming system sees its 10 nm Enhanced SuperFin node re-badge as "Intel 7." The company currently builds 11th Gen Core "Tiger Lake" processors on the 10 nm SuperFin node, and is expected to build its upcoming 12th Gen Core "Alder Lake" chips on its refinement, the 10 nm Enhanced SuperFin, which will now be referred to as "Intel 7." The company is careful to avoid using the nanometer unit next to the number, instead signaling the consumer that the node somehow offers transistor density and power characteristics comparable to a 7 nm node. Intel 7 offers a 10-15 percent performance/Watt gain over 10 nm SuperFin, and is already in volume production, with a debut within 2021 with "Alder Lake."
This is where things get interesting. The successor to Intel 7 is named Intel 4, and is technically a 7 nm EUV node. This node offers a 20 percent performance/Watt gain over Intel 7 (aka 10 nm Enhanced SuperFin), and will debut in mid-2022 with "Meteor Lake" client- and "Granite Rapids" enterprise processors. Intel has gone with "4" for the name as 2022 sees both Samsung and TSMC roll out their sub-5 nm nodes. TSMC will debut the 4 nm, while Samsung will hopefully iron out its 5 nm yield issues, and ramp up 4 nm, by 2022.
Intel 3 succeeds Intel 4 in the second half of 2023, and is timed to launch around the time TSMC comes out with its sub-4 nm node, likely the 2 nm. Intel claims this node offers an 18 percent performance/Watt gain over the Intel 4, implement a denser HP library, increase the use of EUV, improve the drive-current and via resistance, to result in the performance/Watt target. With no mention of FET size, it's very likely that Intel 3 is still a 7 nm node.
It's only in 2024 that Intel is promising major technological breakthroughs, with Intel 20A, heralding the era in silicon fabrication where transistor sizes are measured in Angstroms (0.1 nm). 20A would hence be a creative way of saying 2 nm. Intel will introduce a brand new transistor design it calls the RibbonFET. It remains to be seen if this is a whole new innovation or similar to nanosheet FETs. Intel is also announcing PowerVia, a revolutionary new way to connect silicon dies with each other, or with the package, which debuts with the Intel 20A node. The company is targeting a 1H-2024 debut of this new node.

With these, Intel is ensuring that it has a new node to offer each year leading up to 2024, each with a double-digit percent performance/Watt gain, so the company can restore something resembling its "Tick-Tock" product development cadence, enabling it to compete not just against AMD, but also the emergence of serious Arm-powered rivals, such as NVIDIA, Qualcomm, and Apple. The company is hence facing similar levels of competition as the early 1990s. x86 may no longer have a stranglehold over the PC, as Arm-powered rivals claw away at market-share with efficient and fairly-powerful chips.

The complete slide-deck follows.
Source: VideoCardz
Add your own comment

101 Comments on Intel Rebadges 10nm Enhanced SuperFin Node as "Intel 7," Invents Other Creative Node Names

#51
mashie
So in a few years there will be Intel 7+++?
Posted on Reply
#52
GreiverBlade
Gruffalo.Soldierin theory though a smaller should have more transistors than the bigger in a smaller package, smaller should always be better.

There's no doubt Intel was sore at losing their crown. Love em or hate em though, i have no doubt they will be back.
oh i do not doubt they will be back .... they did loose it with the Athlon and then with Ryzen ... i never doubted ... not taking a crown tho ... we need balancing, competition and fair play ... not a piss in the wind contest, like two kids who argue that their daddy (product) is better because "xxxxx childish reason" (i know i know, not gonna happen ;) )

i do not care who will have the best product ... i care for the one who genuinely did something worth my time ... AMD did it two time (Athlon/Ryzen well three if counting the Athlon64 and Phenom which i quite liked but that one is more personal) Intel did it only once (first core gen) both had their huge fumbles (Bulldozer for the reds, Netburst for the blues )
Posted on Reply
#53
Tom Yum
medi01No, not really.

Intel 14nm transistor size (as measured by crazy German dude):
24 by 24 nm

TSMC 7nm
22 by 22 nm
Link?

How about real world applications. Apple M1, TSMC 5nm, 16 billion transistors, 119mm2 die, density = 135 million transistors per mm2.

AMD Ryzen CCD die, TSMC 7nm, 4.15 billion transistors, die size 80mm2, density = 51 million transistors/mm2.

Intel Ice Lake, Intel 10nm, transistor count estimated to be 7 billion (extrapolated from comments Jim Keller made about the transistor count of an Ice Lake core), die size 122mm2, density = 57 million transistors per mm2.

Subsequent Intel designs??? Who knows, because Intel stopped detailing the transistor count of its cores after Ice Lake, which I think is telling (they had no qualms doing so when they had the density lead over TSMC).

It shows that whilst Intel has previously indicated their OG 10nm process used on Cannonlake was 108million/mm2, the trend since then has been a reduction in density (in order to increase clocks) at the same time TSMC processes have continued to become denser. All indications are that Intel 10ESF is approx equal to TSMC 7nm, and definitely less dense than TSMC 5nm. That still places Intel a full node behind from a transistor density perspective.
Posted on Reply
#54
ppn
mashieSo in a few years there will be Intel 7+++?
Only if intel faces major issues. But this is EUV, much easier to work with. Wonder what TSMC will do, and why intel went for a more refined bigger number. This breaks comparison intel 7 vs tsmc N7. intel 4 vs tsmc N4, and so on. until 20 Å, so previous intel 1.4nm will become 10 Å in 2029, if it ever makes it on time.
Posted on Reply
#55
TheoneandonlyMrK
So Intel renames 10nm to 7nm then continues to make up node names for innovations that we are now hearing about Again, not for the first time, Tsmc announced GAA a year or two ago for instance and AMD were said to use it on Tsmc 3Nm.
I can't knock Intel for the naming scheme, after all Tsmc did the same.
However Tsmc can and likely will reply in marketing kind.
We're getting A20 off Tsmc in 2023.5 I'd wager, though it is not called that presently.

I think someone needs to legislate against this bullshit and inforce a density lead classification system.

They're not regulating they're own bullshit and consumers are having the wool pulled over their eyes with this nonsense so a government needs to step up.
Posted on Reply
#56
mtcn77
londisteTSMC is quite actively marketing their nodes.
Color me intrigued because I have never seen the same level of marketing from TSMC. Intel and Samsung on the other hand have both proclaimed MBCFet and GAAFet even before being first to market.
Posted on Reply
#57
persondb
tabascosauzBecause while the rationale is reasonable, it's an ill-timed and absolutely knuckleheaded move by Intel and I'd be surprised if it doesn't gain hilarious amounts of bad press. 10++ becoming 10nm Enhanced Superfin was the only sensible renaming scheme that actually was a fresh start (though you could argue that at that point, 10+ and 10++ should already have simply become something like 9nm to distance them from the name). This is what, the 4th time Intel has renamed 10nm? Not all investors are necessarily tech-savvy, but after 4 rebranding efforts with nothing to show for it other than Tiger Lake and ICL Xeon (kinda not really), PR stunts like this do nothing to improve confidence.
They ended up rebranding because the original 10nm ended up just not working, so I guess no sense to call ICL version of it 10+nm when there simply wasn't a 10nm.
tabascosauzNo one's doubting that TSMC and Samsung love to give old shit a new coat of paint and call it by a new name, but when you can't even deliver compelling products, it doesn't matter if your node is denser or more efficient than the name suggests or that the competitors are misleading consumers. 10SF is much improved and dense as hell - so what? Tiger Lake is still a power hog and ICL Xeon isn't impressive.
Products != how good the node is
Though let's be honest, Tiger Lake is actually the pretty good even if it consumes quite a bit more power.
tabascosauzAt least begin the new naming scheme on the (former) 7nm node. A fresh start to forget about the 10nm debacle, if you will - I thought that was exactly what Pat aimed for when he became CEO? I get that they want to pull out all the stops for Alder Lake and Sapphire Rapids, but shit like this just reeks of a lack of confidence in Alder Lake.
Nah, It makes more sense to just use 10nm ESF to get 'equivalent' to competitors.
TheoneandonlyMrKSo Intel renames 10nm to 7nm then continues to make up node names for innovations that we are now hearing about Again, not for the first time, Tsmc announced GAA a year or two ago for instance and AMD were said to use it on Tsmc 3Nm.
They are using GAA in 2nm which is scheduled for mid 2023. Samsung is the one that's going to try to get GAA first.
Plus everyone has been announcing it for sort of years.

See:www.anandtech.com/show/16823/intel-accelerated-offensive-process-roadmap-updates-to-10nm-7nm-4nm-3nm-20a-18a-packaging-foundry-emib-foveros/3
Posted on Reply
#58
fb020997
TheLostSwedeDear Intel, the unit is Å, not A, as his name was Ångström. I guess your marketing department couldn't figure out how to type it, so now your new nodes are in Ampere...
As a (nearly) mechanical engineer (3 exams left), I understand that very well. Measurement units are the basis IMHO…
Another similar thing that irks me is with various signs about the 1m social distance. Here in Italy, half have distances (m, metres), half have either weights (”””””millitonnes””””””, mt XD) or magnetic flux density (megatesla, MT)
Posted on Reply
#59
IceShroom
londisteCome on, why the bashy tone? We have known for a long while that Intel's node naming after 14nm is out of sync with competitors.
With all the bullshit in the piece, this should be at least marked as Editorial.
Performance Rating is wrong comparison, pure and simple.


Which, by all indications, it does. Also probably comparable to TSMC/Samsung 7nm node evolutions that are called some variation of 6nm.

It is technically an Intel 7nm EUV node, which is comparable to TSMC's and Samsung's 5nm node.
Also, reducing the number by a little or lately by one is common enough for TSMC and Samsung to denote advancements on existing node, basically the same as Intel's use of +. Fo example, TSMC already has a node called N4 (in the family of 5nm nodes).
Intel's 10nm is close to Samsung's and TSMC 10nm and no where near to TSMC 7nm and Samsung's 7nm. Intel may have bigger number in paper, but in real implementation TSMC and Samsung is away ahead. And Intel's 7nm will be closer to TSMC or SS 7nm if not 5nm.
SihastruThey're not wrong in doing this. The "Xnm" moniker means nothing anymore, it isn't in any way representative of the transistors' geometry, it's just a purely commercial/marketing term. What matters is the density you can achieve on a process node, and in terms of density, Intel's 10nm is superior to both TSMC's 7nm and Samsung's 7nm.

Intel "10nm" - 100.76 MTr/mm2
Samsung "7nm" - 95.08 MTr/mm2
TSMC "7nm" - 91.2 MTr/mm2

TSMC has the smaller "nm" number and yet the worst density of all. Seems disingenuous if you ask me.

Just to make my point even stronger:

TSMC "10nm" - 52.51 MTr/mm2
Samsung "10nm" - 51.82 MTr/mm2

If anyone is trying to fool us is TSMC and Samsung. Intel should've aligned their naming scheme ages ago.

EDIT: Samsung 7nm
100.76 MT/mm2 for Intel is paper density, not real implementation. TSMC has real chip with 90 MT/mm2, where Intel don't even publish their transistor for their 14nm chip, let alone 10nm ones. Even GloFlo's 28nm SHP has more or same real chip density as Intel's 22nm.
www.anandtech.com/show/15099/the-huawei-mate-30-pro-review-top-hardware-without-google/2
www.anandtech.com/show/7677/amd-kaveri-review-a8-7600-a10-7850k
Posted on Reply
#60
londiste
IceShroomIntel's 10nm is close to Samsung's and TSMC 10nm and no where near to TSMC 7nm and Samsung's 7nm. Intel may have bigger number in paper, but in real implementation TSMC and Samsung is away ahead. And Intel's 7nm will be closer to TSMC or SS 7nm if not 5nm.
I would actually like to see your source about this.
Industry and technical analysts all are in a pretty nice agreement that Intel's 10nm is in the same group with TSMC/Samsung 7nm. Similarly Intel's 7nm in the same group with TSMC/Samsung 5nm.
IceShroom100.76 MT/mm2 for Intel is paper density, not real implementation. TSMC has real chip with 90 MT/mm2, where Intel don't even publish their transistor for their 14nm chip, let alone 10nm ones.
Mobile chips are from a different variation of manufacturing process. 90% of theoretical density is rather normal for that.
High performance chips come with a much lower density, from all manufacturers.

You are right about Intel not having real implementation with max density in a product . On the other hand, I have no idea what in their product portfolio would not be using the high performance variation. IIRC Intel has said their 10nm has two high performance variations with 80 MTr/mm2 and 65 MTr/mm2.

At the same time, I cannot think of anything from Samsung's 7nm that would be on high performance variation. The main use so far are the mobile SoCs. Can you think of something Samsung has manufactured?

Edit:
Apparently Intel did have a kind of product with high density in the 10nm failure times. i3-8121U had 100.8 MTr/mm2: Intel 10 nm Logic Process Analysis (Cannon Lake) | TechInsights
Posted on Reply
#61
The red spirit
Seems reasonable. Intel's 14nm, was closer to others 10nm. Intel's 10 nm is likely closer to competitions 7nm. Other than that, congrats for finally moving from 14nm+++.
Posted on Reply
#62
AusWolf
And I'm rebadging my 15 cm as "AusWolf 25".
Posted on Reply
#63
Unregistered
How long before the 10++++++ jibes, sheesh. I know AMD did well after a big drought, but don't make this place look any more full of AMD fanboys than it already does. And yes i am using AMD
Posted on Edit | Reply
#64
Ravenas
Why not just be transparent with your customers... I thought an engineer was hired to take over the CEO helm, not a marketing guy.
Posted on Reply
#65
TheGuruStud
Gruffalo.SoldierHow long before the 10++++++ jibes, sheesh. I know AMD did well after a big drought, but don't make this place look any more full of AMD fanboys than it already does. And yes i am using AMD
Defending intel's BS marketing....yeah, we're fanboys lmao
Posted on Reply
#66
Turmania
Originally intel 10nm was superior to tsmc's 7nm. Tsmc played a misleading naming game but got away with it as they produced end results. Now intel once was in right now has to play the same game as competitor.
Posted on Reply
#67
TheGuruStud
TurmaniaOriginally intel 10nm was superior to tsmc's 7nm. Tsmc played a misleading naming game but got away with it as they produced end results. Now intel once was in right now has to play the same game as competitor.
Superior? I didn't know 2-3x power hogging, clock deficiency, and terrible yields were superior.

nm numbers are all marketing and Intel is pretending they're a performance indicator. This is an obvious attempt at obfuscation, b/c their nodes suck and has nothing to do with actual density (real or imagined).

10nm has such a bad reputation that it has to be relabeled.
Posted on Reply
#68
TheoneandonlyMrK
TurmaniaOriginally intel 10nm was superior to tsmc's 7nm. Tsmc played a misleading naming game but got away with it as they produced end results. Now intel once was in right now has to play the same game as competitor.
Total balls, show where and when originally Intel's 10nm was better than Tsmc's 7nm.
They're yields could not even be marketed ffs where's Tsmc churned 7nm out a fair while productively and profitably before Intel ever got a worldwide 10nm release Soo better at what, in what way.

I'm ok with Intel tit for tatting Tsmc's naming scheme since what's good for the goose is indeed good for the gander but.

Fu#@ these companies, they're being dicks, use physics or a regulated naming convention s, not this mind f#£@ bull that's as clear and truthful as Abie Kruger or the value of bitcoin.
Posted on Reply
#69
InVasMani
Minus InfinityWell based on the power usage alone it's clearly not. It's Intel's own fault, not TSMC's. Let's wait and see how much power Alderlake uses to see if their 10nm is a big improvement over 14+++++++++++++++++++.
Unless something changed I believe the big cores are on the 14nm and smaller cores 10nm unless both got transitioned to 10nm finally. From what I can see of Alderlake specs it at least looks interesting it'll be DDR4/DDR5 based so that's a perk if you're on DDR4 you'll be able to get more usage out of it. I hope that Intel increased the memory support further for DDR4 as well.

Alderlake chips look interesting on the big LITTLE core pairing I look forward to seeing how they benchmark some have more little cores, but fewer big cores and others are the opposite. Can the chip with more little cores and few big cores beat the one with more big cores and few little cores or does it vary largely based on workload? What's important here is the relative strength of a little core versus a big core in the design. Also how it impacts efficiency as well is important. Alderlake could end up the best of the best for DDR4 capable systems potentially especially on value so I'm keeping a careful eye on how they turn out.

Time will reveal how good or bad Alderlake is or what niche markets it might penetrate and be good consumer value or not. Intel might surprise a bit. The cache structure of the big and little cores could be interesting as well if they happen to be the same the chips with more little cores could be good in certain workloads due to that aspect more CPU cache in total helps a lot with NTFS compression speeds at certain I/O size and file sizes. I can be critical of Intel and they deserve their share of it, but they knock it out of the park sometimes. I'm not confident Alderlake will be that, but it could be another step back in the right direction at least and in the case of people wanting to continuing getting use out of their DDR4 hardware surprising value or cost savings.

The other aspect of big LITTLE for Intel is if they can better perfect this they can mix and match 10nm with a lower node and still once become a lot more competitive with AMD a lot more quickly. In the case of 10nm it's not perfect and has taken far longer than Intel would've preferred to reach even the maturity it is at now, but it still can improve. Perhaps they'll be able to do a few things to salvage it further was well through some discovery or break thru. It's not a lost cause, but prioritizing a lower node is important for them. Intel can't continue to hemorrhage voltage leakage due to being on such a more outdated node relative to competition.
Posted on Reply
#70
Makaveli
Why_MeDoes the average customer pay attention to chip size? Can't be confused over something you don't know about nor care about.
They don't but they can read marketing slides, and store signs. So when someone goes to best buy and they see two machines one intel and other amd then they glance at the spec sheet and ask the sales guy is this 7nm good why is the intel one 10nm. And if the besy buy guy is honest he will say lower is better. This change is so fool the unwashed masses into think both intel and amd are on 7nm.
Posted on Reply
#71
Why_Me
MakaveliThey don't but they can read marketing slides, and store signs. So when someone goes to best buy and they see two machines one intel and other amd then they glance at the spec sheet and ask the sales guy is this 7nm good why is the intel one 10nm. And if the besy buy guy is honest he will say lower is better. This change is so fool the unwashed masses into think both intel and amd are on 7nm.
Except the average buyer isn't going to ask that question. They could care less about 7nm vs 10nm. They just want cheap and stable.
Posted on Reply
#72
Makaveli
Why_MeExcept the average buyer isn't going to ask that question. They could care less about 7nm vs 10nm. They just want cheap and stable.
except i've been in best buy and heard someone ask that for 7nm vs 14nm

Not everyone that buys from best buy is a noob, sometimes people don't have the time to build there own or don't want to support it themselves.
Posted on Reply
#73
Why_Me
Makaveliexcept i've been in best buy and heard someone ask that for 7nm vs 14nm

Not everyone that buys from best buy is a noob, sometimes people don't have the time to build there own or don't want to support it themselves.
If they weren't a noob they wouldn't be asking a minimum wage Best Buy employee for PC hardware advice.
Posted on Reply
#74
Unregistered
InVasManiUnless something changed I believe the big cores are on the 14nm and smaller cores 10nm unless both got transitioned to 10nm finally. From what I can see of Alderlake specs it at least looks interesting it'll be DDR4/DDR5 based so that's a perk if you're on DDR4 you'll be able to get more usage out of it. I hope that Intel increased the memory support further for DDR4 as well.

Alderlake chips look interesting on the big LITTLE core pairing I look forward to seeing how they benchmark some have more little cores, but fewer big cores and others are the opposite. Can the chip with more little cores and few big cores beat the one with more big cores and few little cores or does it vary largely based on workload? What's important here is the relative strength of a little core versus a big core in the design. Also how it impacts efficiency as well is important. Alderlake could end up the best of the best for DDR4 capable systems potentially especially on value so I'm keeping a careful eye on how they turn out.

Time will reveal how good or bad Alderlake is or what niche markets it might penetrate and be good consumer value or not. Intel might surprise a bit. The cache structure of the big and little cores could be interesting as well if they happen to be the same the chips with more little cores could be good in certain workloads due to that aspect more CPU cache in total helps a lot with NTFS compression speeds at certain I/O size and file sizes. I can be critical of Intel and they deserve their share of it, but they knock it out of the park sometimes. I'm not confident Alderlake will be that, but it could be another step back in the right direction at least and in the case of people wanting to continuing getting use out of their DDR4 hardware surprising value or cost savings.

The other aspect of big LITTLE for Intel is if they can better perfect this they can mix and match 10nm with a lower node and still once become a lot more competitive with AMD a lot more quickly. In the case of 10nm it's not perfect and has taken far longer than Intel would've preferred to reach even the maturity it is at now, but it still can improve. Perhaps they'll be able to do a few things to salvage it further was well through some discovery or break thru. It's not a lost cause, but prioritizing a lower node is important for them. Intel can't continue to hemorrhage voltage leakage due to being on such a more outdated node relative to competition.
Both 10nm Enhanced SuperFin
Alder Lake's smaller Gracemont cores jump forward a single Atom generation and offer the benefit of being more power and area efficient (perf/mm^2) than the larger Golden Cove cores. Gracemont also comes with increased vector performance, a nod to an obvious addition of some level of AVX support (likely AVX2). Intel also lists improved single-threaded performance for the Gracemont cores.
Posted on Edit | Reply
#75
Makaveli
Why_MeIf they weren't a noob they wouldn't be asking a minimum wage Best Buy employee for PC hardware advice.
Someone can be a novice at building computers yet be a brilliant coder or be advanced at other area's in computing. You never know sometimes.
Posted on Reply
Add your own comment
Jul 2nd, 2024 01:46 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts