Wednesday, July 10th 2024

TSMC to Raise Wafer Prices by 10% in 2025, Customers Seemingly Agree

Taiwanese semiconductor giant TSMC is reportedly planning to increase its wafer prices by up to 10% in 2025, according to a Morgan Stanley note cited by investor Eric Jhonsa. The move comes as demand for cutting-edge processors in smartphones, PCs, AI accelerators, and HPC continues to surge. Industry insiders reveal that TSMC's state-of-the-art 4 nm and 5 nm nodes, used for AI and HPC customers such as AMD, NVIDIA, and Intel, could see up to 10% price hikes. This increase would push the cost of 4 nm-class wafers from $18,000 to approximately $20,000, representing a significant 25% rise since early 2021 for some clients and an 11% rise from the last price hike. Talks about price hikes with major smartphone manufacturers like Apple have proven challenging, but there are indications that modest price increases are being accepted across the industry. Morgan Stanley analysts project a 4% average selling price increase for 3 nm wafers in 2025, which are currently priced at $20,000 or more per wafer.

Mature nodes like 16 nm are unlikely to see price increases due to sufficient capacity. However, TSMC is signaling potential shortages in leading-edge capacity to encourage customers to secure their allocations. Adding to the industry's challenges, advanced chip-on-wafer-on-substrate (CoWoS) packaging prices are expected to rise by 20% over the next two years, following previous increases in 2022 and 2023. TSMC aims to boost its gross margin to 53-54% by 2025, anticipating that customers will absorb these additional costs. The impact of these price hikes on end-user products remains uncertain. Competing foundries like Intel and Samsung may seize this opportunity to offer more competitive pricing, potentially prompting some chip designers to consider alternative manufacturing options. Additionally, TSMC's customers could reportedly be unable to secure their capacity allocation without "appreciating TSMC's value."
Source: via Tom's Hardware
Add your own comment

46 Comments on TSMC to Raise Wafer Prices by 10% in 2025, Customers Seemingly Agree

#26
bonehead123
WirkoHow are we supposed to cut those into chips when they don't come with grid lines?
Sharpies, white-out, masking tape etc....

When there's a will, there's a way, hahahaha :D
Posted on Reply
#27
remixedcat
FoulOnWhiteNice i love these

Bet Intel won't even blink at the increase. They need TSMC.
pat misses the apple waffers.
Posted on Reply
#28
dotjaz
Aren't Samsung nodes known to either consume more power and/or clock lower in comparison to their direct TSMC counterparts? You clearly didn't get the memo. Nobody said anything about DIRECT counterparts. Whatever AMD is releasing in older TSMC nodes, they can release in Samsung CURRENT nodes. For example, AMD could have used Samsung SF4P for the N6 IO die. SF4P is behind N4P by a lot but it's as good as N5 or even N5P if you count SF4P+. They couldn't do anything about N3 in early 2025, but they still have Escher, Strix Halo, Kraken Point to release in 2025 with N4, some of these can be manufactured with SF3 in if it's released in late 2025.
Posted on Reply
#29
Minus Infinity
ARFIf you look at AMD's chiplets efforts, you will see that they use the older processes - N6, N7, N5, and they don't use the newer N2, N3 and N4.
Which means that AMD could very well use Samsung's corresponding processes (3GAE SF3E, 3GAP SF3, 4LPE SF4E, 4LPP SF4, 4LPP+ SF4P, 4HPC SF4X, 4LPA SF4U, 7LPP, 6LPP), and still get better products than what they currently offer.
Zen 5 is on N4P, Epyc is getting N3. So much for that theory.
alwaysstsI've said for a while:

I truly think AMD should consider moving most of their output to Samsung 2nm. If Samsung can make a smartphone chip for the 2026 Galaxy, they *should* be able to make dang near anything AMD should need...in theory.

Now, I don't know how smoothly that is progressing; the yields nor the pricing, but given how long they will have been on GAAFET by that point (without BSPD), one would *think* yields should be decent, performance comparable to what's available for HPC at TSMC, and pricing advantageous. That's one of the advantages of going chiplet; in theory AMD could sneak into risk production on a node typically reserved for mobile (if they design toward a lower voltage and/or Samsung can produce chips that can take advantage of higher voltage from the beginning and/or close to it).

As I've also said, while when one looks at TSMC's roadmap they see things like N2P etc, remember Apple (if not then nVIDIA) typically has an entire generation on lock. So while some may look at the competitive landscape and question why anyone may use Samsung 2nm when TSMC's N2P/1xA is on the way, I would instead say it is a competitor to N3P. While I can't say for certain if it will have that level of performance/reliability (wrt voltage response), it would appear to be the case wrt at least density. I think that gives AMD, given their already-proven propensity towards parallelism and smaller chips, ntm optimized clocks, room to expand that mindset while perhaps creating more robust inherent hardware running at a lower voltage to maintain a respectful TDP (as nVIDIA has done for quite a while now), rather than continuing the 'higher-speed/smaller die size' GPU methodology.

But, like, IDK. That one guy from AMD (I know, specific and well-sourced...I apologize) said they're sticking with TSMC into the future. That may be that...but I still can't help but feel there's some perfect concoction of what they did with GloFo (really Samsung) 14nm...a 'Zen Moment'...if you will...or Polaris if you won't...mixed with chiplets...on Samsung 2nm. It just 'feels' like a good opportunity for someone to seize. It very-well might not be AMD and may be someone else (like Qualcomm), but I wouldn't rule it out as a realistic, if not tantalizing alternative (and way to keep prices down) versus TSMC.
3nm GAAFET yields are atrociously bad at the moment, like 20%. Good luck with 2nm getting up to speed in a timely manner. Scamsung hype and hype, but rarely deliver.
Posted on Reply
#30
alwayssts
Minus InfinityZen 5 is on N4P, Epyc is getting N3. So much for that theory.


3nm GAAFET yields are atrociously bad at the moment, like 20%. Good luck with 2nm getting up to speed in a timely manner. Scamsung hype and hype, but rarely deliver.
I don't know if that's true anymore? I know 3nm yield WAS at one time bad (although 20% exaggerating quite a bit), but at the same time by all-accounts perf/density were similar to TSMC according to many.

If they set a contract for KGD (which fabs hate but customers love [which may have been the case with nvidia on 8nm...]), or better-than-yield-difference wafer pricing given capacity, does it really matter?

I don't disagree that they have indeed hyped competition with TSMC and never quite delivered on-time, something they addressed at their last briefing. I think they stated something like seven years before parity with TSMC. That said, again, N2 would be not be competition for TSMC's N2(P), but rather again N3P, last-gen finfet versus 3rd/4th-gen GAAFET, not 3rd/4th-gen GAAFET (even if comparatively bad yields/perf versus 1st/2-gen GAAFET).

I know some think it's a foolish notion, but you must understand there HAS to be a reasoning even for something as simple as renaming the node. If that's FOR a customer, to attract customers; I don't know.

All I know is they had three versions of 3nm; assumption being to compete with n3b, n3e, and n3p. Now they have 2, which theoretically compete with n3b and n3e that (almost) nobody used.

The third was renamed to 2nm, and is a new foundation scaling/leading up to BSPD (not unlike TSMC's N2 and then N1x, even though named different nodes at that company).

So again, and I'm not disagreeing nor saying it's entirely likely, simply pointing out the option exists and could (given that in 2026 most will still be using N3P) be an option for products in 2026.

Last I checked, both upcoming GPUs families are expected 4nm; Rubin N3(e/p) in 25/26. Also, one wouldn't expect RDNA5/Zen 6 until H226, which is what makes this feasible (but also possibly late N3P parts).

It's possible, I suppose, AMD may use TSMC N2P/N1x (BSPD) for Zen 6 and/or RDNA5, but I have to question if that will even be an economical option, let-alone a feasible one, given Apple/nVIDIA.

There's also future consoles to consider in 2026 or later; chips based on those same architectures.

Do you feel Sony/MS would want to pay TSMC prices for those chips and/or want to pay to have the design ported to a different fab company? If N3P bc cheaper and/or N2P/N1x unavailable, do they want to have to redesign a shrink for GAAFET throughout the product lifecycle rather than simply shrink it along the evolution of Samsung's 2nm (and beyond, which I would assume would use similar tools)?

I'm not saying the obvious/status-quo solution isn't possible (or even most-likely), only the perhaps unlikely is indeed possible.
Posted on Reply
#31
Dr. Dro
Caring1I thought WE were the customers, those other guys are just the middle men.
We aren't the customers, not by a long shot. The DIY channel market is a bucket of water in comparison to the OEM, semicustom and B2B oceans out there.
ARFThere is competition, these customers should move to Samsung, that is cheaper.

Vote with your wallets. Boycott these shenanigans.
Assuming that prices increase 15% as a result of this price hike by TSMC; all major companies will still happily do business with them and every product in this bleeding edge node will remain desirable. Everyone shall vote with their wallets, just not the way you think they are going to.
Posted on Reply
#32
fevgatos
Dr. DroWe aren't the customers, not by a long shot. The DIY channel market is a bucket of water in comparison to the OEM, semicustom and B2B oceans out there.
The DIY market is basically a rounding error. Laptops / prebuilts and of course servers is where it's at. There is a reason nobody designs desktop first CPUs.

I can't blame any company for ignoring the desktop but man, one company left a sore taste in my mouth after they convinced anyone they are for the people :D
Posted on Reply
#33
FoulOnWhite
fevgatosThe DIY market is basically a rounding error. Laptops / prebuilts and of course servers is where it's at. There is a reason nobody designs desktop first CPUs.

I can't blame any company for ignoring the desktop but man, one company left a sore taste in my mouth after they convinced anyone they are for the people :D
They are ALL for the money. None of them do it for fun, or for us.
Posted on Reply
#34
lilhasselhoffer
TSMC threatens to increase their price...because they want to lock business in with their customers... Is this news? I mean, at this point you're looking at a global supply chain trying to plan for their busiest season in month 7, with expected announcements of Blackwell for late 2024, potentially a new AMD processor line, the holiday season for whatever new tech bauble is cool to sell this year, and a nearly insatiable demand for anything with AI because Nvidia, Intel, and AMD are all racing towards calling themselves software companies instead of hardware companies.

I predict they get most big players onboard with a very small change in price, tout the maximum as their "increased cost" which will be passed onto consumers, and everybody gets a slice of the pie except consumers, who are rapidly paying more for hardware and nobody in their right mind would blame the market...no, it's just what things cost now.


Remind me again. The last 4 years have seen the greatest amount of corporate profit margins increase, which outstripped materials increase because mathematically it had to. IE, you pay 50% more for a thing, which cost the company 20% more in materials. Once you factor the remaining 30%, between previous profit margins and new ones, the company charges 50% more to you but gets about an extra 15% in margins for nothing. It's great to be able to hide a profit margin increase with a "required" cost of materials increase. That way nobody has to admit that an executive gets to walk home richer than the real cost of things increasing would allow.




I may be old...but I remember when we had a 5% increase in the price of steel as a raw material. Weight of each part was calculated, and a 6% increase was added with the stipulation prices would be fixed for 6 months...and boy is that one heck of a good deal. Steel yo-yoed between 4 and 7%...and everybody kept making a profit without having to initiate a price increase every other month. Ahhh, but this was not a billion dollar business...so it's a bit easier to be price conservative.
Posted on Reply
#36
N/A
the bleeding edge is N3, no info on N2, N7 2% increase by Q1'25, N16 remains unchanged.
but N5 gets 12% increase instead, N3 only by 5% for the entire period 2025-2027.
Posted on Reply
#37
AusWolf
Aren't they high enough already?

Anyway, if GPU tends in price-to-performance ratio continue, there's not gonna be any point in upgrading in the next 5-7 years for most people, so TSMC can shove their prices where the sun don't shine.
Posted on Reply
#39
Wirko
I have a ~3700 € stake in TSMC through mutual funds, which, in more common terms, amounts to two nice 4090s. Just a year ago, the same share was worth a single poor 4090. Do I want TSMC to raise prices further every quarter or do I not want that?
Posted on Reply
#40
ARF
N/Ano info on N2
There is plenty of information.

www.trendforce.com/news/2024/07/10/news-tsmc-reportedly-plans-to-commence-trial-production-for-apples-2nm-chips-next-week/
After Samsung announced a major breakthrough in 2nm, securing the first batch of orders from Japanese AI company Preferred Networks, its rival TSMC is also advancing. According to reports from Wccftech and ET News, TSMC is set to begin trial production of 2nm chips next week, which would reportedly be used in the upcoming iPhone 17 lineup in 2025.
en.wikipedia.org/wiki/2_nm_process
Posted on Reply
#41
JohH
Customers like Apple, Nvidia, Qualcomm don't care. They can pass the cost on to their customers.

But it may hurt companies who have to compete with the powerful brands (like MediaTek) and whose primary customers are cost-conscious.
Posted on Reply
#42
ARF
JohHCustomers like Apple, Nvidia, Qualcomm don't care. They can pass the cost on to their customers.

But it may hurt companies who have to compete with the powerful brands (like MediaTek) and whose primary customers are cost-conscious.
What about the progress? If you compare AMD's 6nm Radeon RX 7600, and a potential RX x600 on 2nm, which would be 150-200% faster, what one would you choose?

What about the computing tasks, the supercomputers, processors and graphics cards which leave so much performance unused on the table?
Posted on Reply
#43
Xajel
kondaminthat's nice and all, if samsung gets their yields right, which they didn't for nvidia on the 6nm node

that and AMD is making plenty on their ryzen chips, they don't need to move to a different manufacturer to be able to lower prices, they don't have to why charge less if you don't have to...
Sadly, Samsung is far from competing with TSMC, their high-end nodes have issues like low yields, lower clocks, and higher power consumption compared to comparable TSMC nodes.
Posted on Reply
#44
stimpy88
At this rate, it could well be that China "owning" this would actually be a positive thing. The Taiwanese are more greedy gangsters than the Chinese are!
Posted on Reply
#45
ARF
stimpy88The Thais are more greedy gangsters than the Chinese are!
Thais, you mean Thailand? What does Thailand have to do with this?
stimpy88At this rate, it could well be that China "owning" this would actually be a positive thing.
The Chinese goods that I see in the majority cases are the cheapest goods in the world.
But this is generally true for corporations different from the likes of Huawei, Haier, and others, which do make premium goods.
Posted on Reply
#46
stimpy88
ARFThais, you mean Thailand? What does Thailand have to do with this?



The Chinese goods that I see in the majority cases are the cheapest goods in the world.
But this is generally true for corporations different from the likes of Huawei, Haier, and others, which do make premium goods.
It's a spelling mistake caused by autocorrect, and me not checking it. I have corrected it to be proper.
Posted on Reply
Add your own comment
Jul 15th, 2024 23:05 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts