Tuesday, August 6th 2024

Intel 18A Powers On, Panther Lake and Clearwater Forest Out of the Fab and Booting OS

Intel today announced that its lead products on Intel 18A, Panther Lake (AI PC client processor) and Clearwater Forest (server processor), are out of the fab and have powered-on and booted operating systems. These milestones were achieved less than two quarters after tape-out, with both products on track to start production in 2025. The company also announced that the first external customer is expected to tape out on Intel 18A in the first half of next year.

"We are pioneering multiple systems foundry technologies for the AI era and delivering a full stack of innovation that's essential to the next generation of products for Intel and our foundry customers. We are encouraged by our progress and are working closely with customers to bring Intel 18A to market in 2025." -Kevin O'Buckley, Intel senior vice president and general manager of Foundry Services
More on Intel 18A: In July, Intel released the 18A Process Design Kit (PDK) 1.0, design tools that enable foundry customers to harness the capabilities of RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery in their designs on Intel 18A. Electronic design automation (EDA) and intellectual property (IP) partners are updating their offerings to enable customers to begin their final production designs.

Why It Matters: These milestones show Intel Foundry is the first to successfully implement both RibbonFET gate-all-around transistors and PowerVia backside power technology for foundry customers. Through ecosystem EDA and IP tools and process flows, RibbonFET and PowerVia are groundbreaking innovations that Intel Foundry makes available to all customers through Intel 18A. Working in concert with its resilient, more sustainable and trusted manufacturing capacity and supply chain, as well as the industry's leading advanced packaging technology, Intel Foundry brings together all the components needed to design and manufacture next-generation AI solutions that scale and run more efficiently.

How It Works: In successfully booting operating systems without additional configurations or modifications, both Panther Lake and Clearwater Forest are clearly indicating the health of Intel 18A—the company's leading-edge process technology that is expected to return Intel to process leadership in 2025. Other signs of health include Panther Lake DDR memory performance already running at target frequency. Next year's Clearwater Forest, the archetype of future CPU and AI chips, will mark the industry's first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling. Clearwater Forest is also the lead product for the Intel 3-T base-die technology. Leveraging Intel Foundry's systems foundry approach, both products are expected to deliver significant improvements in performance per watt, transistor density and cell utilization.

How Customers are Involved: In gaining access to the Intel 18A PDK 1.0 last month, the company's EDA and IP partners are updating their tools and design flows to enable external foundry customers to begin their Intel 18A chip designs. This is a critical enabling milestone for Intel's foundry business.

"Cadence's strategic collaboration with Intel Foundry helps accelerate our mutual customers' innovation by providing access to industry-leading EDA solutions and IP optimized for Intel 18A," said Tom Beckley, senior vice president and general manager, Custom IC & PCB Group at Cadence. "It is very encouraging to see Intel 18A achieve this critical milestone, and we are pleased to support customers on their leading-edge designs on 18A."

Shankar Krishnamoorthy, general manager of the EDA Group at Synopsys, said, "It's great to see Intel Foundry hitting these critical milestones. With 18A now customer-ready, Intel Foundry is bringing together the necessary components needed to design next-generation AI solutions that our mutual customers require and expect. Synopsys plays a mission-critical role as an on-ramp to the world's foundries, and we are proud to work with Intel Foundry to enable Synopsys' leading EDA and IP solutions for their leading-edge process."

More About RibbonFET and PowerVia: These core Intel 18A technologies enable greater processor scale and efficiency, which is required to drive forward AI computing. RibbonFET allows for tight control over the electrical current in the transistor channel, enabling further miniaturization of chip components while reducing power leakage, a critical factor as chips become increasingly dense. PowerVia optimizes signal routing by separating power delivery from the front side of the wafer, thus reducing resistance and improving power efficiency. Together, these technologies demonstrate a powerful combination that could lead to substantial gains in computing performance and battery life in future electronic devices. Intel's first-to-market position with both technologies is a win for foundry customers worldwide.
Add your own comment

16 Comments on Intel 18A Powers On, Panther Lake and Clearwater Forest Out of the Fab and Booting OS

#1
Carlyle2020hs
Good luck Kevin!

If the 13th/14th gen Issues don´t get settled publicly and soon ...
Posted on Reply
#2
FoulOnWhite
This could be very good. Won't be long till TSMC is using some sort of backside power delivery, it's deffo the way to go.
Posted on Reply
#3
LittleBro
Dear Intel, don't you see where all that rushing new products got ya? So many nodes in so little time ... Meteor Lake yields suck. You just don't learn, Intel?

Take your time and validate those products properly. Make sure they don't burn over time. You can't afford another generation of flawed products.

Btw what happened to Intel 20A process?
Posted on Reply
#4
londiste
LittleBroDear Intel, don't you see where all that rushing new products got ya? So many nodes in so little time ... Meteor Lake yields suck. You just don't learn, Intel?
Take your time and validate those products properly. Make sure they don't burn over time. You can't afford another generation of flawed products.
Yes but at the same time - they cannot afford to wait either. 20A is the answer to TSMC N3 that is already in mass production. Luckily for Intel, not for AMD CPUs yet.
Posted on Reply
#5
Dr_b_
Dear Intel: This is cool and all, but talk to me when you have a released fully working product that people can buy, that doesn't suck power like there's no tomorrow and run hotter than the core of a fusion reactor, and simultaneously doesn't burn itself out, that also somehow doesn't cost a kidney, and performs better than the inevitable competition. Got to admire your hype train, and good LUCK with all that!
Posted on Reply
#7
phanbuey
Competition is good. I remember AMD and Jerry Sanders the last time intel was not competitive. It was not a good time.


Ironically intel is still running that same vcore /jk.
Posted on Reply
#8
Hyderz
Dr_b_Dear Intel: This is cool and all, but talk to me when you have a released fully working product that people can buy, that doesn't suck power like there's no tomorrow and run hotter than the core of a fusion reactor, and simultaneously doesn't burn itself out, that also somehow doesn't cost a kidney, and performs better than the inevitable competition. Got to admire your hype train, and good LUCK with all that!
and intel will continue to do the opposite of all you mentioned :P
Posted on Reply
#9
Minus Infinity
I heard Pat will be renaming these Panic Lake and Clearfail Forest.

Seriously I do hope 18A is as good as it sounds, and that Panther Lake can be delivered on time as Lunar Lake's successor but for all mobile segments not just U class.
Posted on Reply
#10
N/A
londisteYes but at the same time - they cannot afford to wait either. 20A is the answer to TSMC N3 that is already in mass production. Luckily for Intel, not for AMD CPUs yet.
5nm aka 20A is an analogue of N2. intel is aiming to be first at 20A, but probably a paper launch or limited supply.
N3 is 30-60% denser than N4. N2 sits at half that 15%. N1.6 half that 7%. we are now entering the world of diminishing returns.
Posted on Reply
#11
Minus Infinity
N/A5nm aka 20A is an analogue of N2. intel is aiming to be first at 20A, but probably a paper launch or limited supply.
N3 is 30-60% denser than N4. N2 sits at half that 15%. N1.6 half that 7%. we are now entering the world of diminishing returns.
20A is going in i3 and i5. The i7 and i9 get TSMC N3B . Intel is basically saying they don't trust the technical superior 20A for their flagship cpu's.

I guess they had to lock in N3B before N3E was available, as N3B is a very poor update, said to offer the worst scaling uplifts in TSMC's history. N3E is greatly superior.
Posted on Reply
#12
kondamin
Minus Infinity20A is going in i3 and i5. The i7 and i9 get TSMC N3B . Intel is basically saying they don't trust the technical superior 20A for their flagship cpu's.
.
where did you read that?
Posted on Reply
#14
chrcoluk
How many chip designs are Intel working on at once? it really seems like they are working on too many different gens at same time, and releasing them way too often.
Posted on Reply
#15
kondamin
Minus InfinityArrow Lake
thats a machine translated rumour, not an official intel announcement and its From before lunar lake was announced.

it could be true, but I wouldn’t call it gospel until intel says it’s like that.
Posted on Reply
#16
londiste
Intel has so far stated for all of the upcoming/announced generations that the die with the CPU cores will be made in their foundries.
Posted on Reply
Add your own comment
Sep 12th, 2024 18:15 EDT change timezone

New Forum Posts

Popular Reviews

Controversial News Posts